实验存储器部件实验(精品)

北京林业大学

11学年—12学年第 2 学期计算机组成原理实验任务书

专业名称:计算机科学与技术实验学时: 2 课程名称:计算机组成原理任课教师:张海燕

实验题目:实验四内存储器部件实验

实验环境:TEC-XP+教学实验系统、PC机

实验内容

1.设计扩展8K字存储器容量的线路图,标明数据线、地址线和控制信号的连接关系。

2.扩展教学机的存储器空间,为扩展存储器选择一个地址,并注意读写等控制信号的正确状态。

3.用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM (58C65)在读写上的异同。

4.用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确。

5.用监控程序的A命令编写一段程序,对扩展存储器EEPROM(58C65)进行读写,用D命令查看结果是否正确;如不正确,分析原因,改写程序,重新运行。

实验目的

1.熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处。

2.理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案。

3.了解如何通过读、写存储器的指令实现对58C65ROM芯片的读、写操作。

4.加深理解存储器部件在计算机整机系统中的作用。

实验要求

1.实验之前认真预习,明确实验的目的和具体实验内容,做好实验之前的

必要准备。

2.想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果;

3.在教学实验过程中,要爱护教学实验设备,记录实验步骤中的数据和运算结果,仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。

4.实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,运算结果的分析讨论,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。

实验说明

内存储器是计算机中存放正在运行中的程序和相关数据的部件。在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括只读存储区(ROM、存放监控程序等)和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分成3组,其地址空间分配关系是:0-1777H用于第一组ROM,固化监控程序,2000-2777H用于RAM,保存用户程序和用户数据,其高端的一些单元作为监控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩展内存容量(存储器的字、位扩展)的教学实验。

在这里还要说明如下两个问题。

第一,要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8K个单元、每个单元由8个二进制位组成)存储器芯片实现。

第二,当存储器选用58C65ROM芯片时,它属于电可擦除的EPROM器件,可以通过专用的编程器软件和设备向芯片的写入相应的内容,这是正常的操作方式。也可以通过写内存的指令向芯片的指定单元写入16位的数据,只是每一次的这种写操作需要占用长得多写入时间,例如几百个微秒,可以通过运行完成等待功能的子程序来加以保证。本次试验采用的是通过写内存的指令将数据写入芯片

中。

对58C65ROM芯片执行读操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信号(/OE)为低电平,读写命令信号(/WE)为高电平,读58C65ROM 芯片的读出时间与读RAM芯片的读出时间相同,无特殊要求;对58C65ROM芯片执行写操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信号(/OE)为高电平,读写命令信号(/WE)为低电平,写58C65ROM芯片的维持时间要比写RAM芯片的操作时间长得多。

实验注意事项

1.连接电源线和通讯线前TEC-XP+实验系统的电源开关一定要处于断开状态,否则可能会对TEC-XP+实验系统上的芯片和PC机的串口造成损害。

2.六个黑色控制开关的功能示意图如下:(开关拨到上方表示为1,拨到下方为0,x表示任意)

单步手动置指令组合逻辑联机8位FPGA

上面

下面

连续从内存读指令微程序脱机16位MACH

3.几种常用的工作方式(开关拨到上方表示为1,拨到下方为0,x表示任意)

4.在拔插实验箱上的任何器件和连线时,都要关闭实验箱电源,否则可能会烧坏实验箱的器件。

实验步骤

一.RAM的写入实验

1.在断电状态下,取出TEC-XP+实验系统,将桌子上的串口线连接到TEC-XP+实验系统的串口(离电源接口远的);连接电源,将电源线的连到TEC-XP+实验系统的电源接口。

2.将教学机左下方的6个拨动开关置为001100(连续、内存读指令、组合逻辑、联机、16 位、MACH)。

3.检查FPGA下方的标有“/CE”的四组插针均是左边两个短接;

4.检查RAM(6116)上方的标有“/WE”的插针应是左边两个短接;

5.RAM(6116)支持即时读写,可直接用A、E命令向扩展的存储器输入程序或改变内存单元的值。RAM中的内容在断电后会消失,重新启动实验机后会发现内存单元的值发生了改变。

(1)打开教学实验机电源。

(2)在PC机上打开https://www.360docs.net/doc/2519363422.html,,进入实验箱的监控程序。

(3)用E命令改变内存单元的值并用D命令观察结果。

①在命令行提示符状态下输入:

E 2020↙

屏幕将显示:2020 内存单元原值:

按如下形式键入:

2020 原值:2222 (空格)原值:3333(空格)原值:4444(空格)原值:5555↙

②在命令行提示符状态下输入:

D 2020↙

屏幕将显示从2020内存单元开始的值,其中2020——2023的值为:

2222 3333 4444 5555

③断电后重新启动教学实验机,用D命令观察内存单元2020——2023的值。有什么现象?原来置入到这几个内存单元的值现在是什么?为什么会发生这种现象?

(2)用A命令输入一段程序,执行并观察结果。

①在命令行提示符状态下输入:

A 2000↙

屏幕将显示: 2000:

按如下形式键入:

2000: MVRD R0,AAAA

2002: MVRD R1,5555

2004: AND R0,R1

2005: RET

2006:↙

②在命令行提示符状态下输入:

T 2000 ↙

R0 的值变为AAAAH,其余寄存器的值不变。

T↙

R1 的值变为5555H,其余寄存器的值不变。

T↙

R0 的值变为0000H,其余寄存器的值不变。

③在命令行提示符状态下输入:

G 2000

运行输入的程序。

④在命令行提示符状态下输入:

R ↙

记录R0和R1的内容:

⑤断电后重新启动教学实验机,用U 2000命令观察原来输入的程序,有什么现象?原来置入的程序现在还有吗?为什么会发生这种现象?

二.存储容量扩展实验

1.要扩展8K字(字长16位)的存储空间,需要使用2片(每一片有8KB 容量,即芯片内由8K个单元、每个单元由8个二进制位组成)存储器芯片实现。设计扩展8K字存储器容量的线路图,参照下图标明数据线、地址线和控制信号

的连接关系,图中/MREQ是访存允许信号,地址线没有给出,需要你自己加上。下图中的地址线是~A0,请注明高地址线是多少。

2.将两个用于扩展的ROM(58C65芯片)芯片分别插入标有“EXTROMH”和“EXTROML”的插座,要注意芯片插入的方向,带有半圆形缺口的一方朝左插入。如果芯片插入方向不对,会导致芯片烧毁。

3.将扩展芯片下方的插针按下列方式短接:

①将标有“/MWR”、“PGM”和“RD”的三个插针左面两个短接,这组插针提供芯片的读写信号,就是决定芯片是处于只读状态还是可读写状态,如果“/MWR”和“PGM”连接,表示芯片可写;如果“PGM”和“RD”相连,表示芯片只读不可写;

②将标有“/MRD”、“/OE”和“GND”的三个插针左边两个短接;这组插针提供芯片的OE信号,往芯片里边写入内容的时候“/M RD”和“/OE”短接,要运行写入的程序,则要“/OE”和“GND”短接;

4.将扩展芯片上方标有EXTROMH和EXTROML的“/CS”信号用自锁紧线短接,然后短接到MEMDC138芯片的上方的标有“4000-5fff”地址单元;注意:标有/CS的圆孔针与标有MEM、/CS的一排圆孔针中的任意一个都可以用导线相连;连接的地址范围是多少,可用的地址空间就是多少。

5.58C65芯片的读操作和一般的RAM一样,而其写操作,需要一定的时间,大约为1毫秒。因此,需要编写一延迟子程序,在对EEPROM进行写操作时,调用该子程序,以完成正确的读写。

(1)用E命令改变内存单元的值并用D命令观察结果。

①在命令行提示符状态下输入:

E 5000↙

屏幕将显示: 5000 内存单元原值:

按如下形式键入:

5000 原值:2424(按空格)原值:3636(按空格)原值:4848(按空格)原值:5050↙

②在命令行提示符状态下输入:

D 5000↙

屏幕将显示5000——507F内存单元的值,从5000开始的连续四个内存单元的值依次为2424 3636 4848 5050。

③断电后重新启动,用D命令察看内存单元5000——5003的值,这几个单元的值是否发生改变,为什么?

(2)利用程序,在5000——500F单元中依次写入数据0000、0001、...000F。

注意:将程序放到RAM(6116)中,调用延时子程序,访问58C65芯片中的内存地址。

从2000单元开始输入主程序:

(2000)MVRD R0,0000

MVRD R2,0010 ;R2 记录循环次数

MVRD R3,5000 ;R3 的内容为16 位内存地址

(2006)STRR [R3],R0 ;将R0 寄存器的内容放到R3 给出的内存单元中CALA 2200 ;调用程序地址为2200 的延时子程序

INC R0 ;R0 加1

INC R3 ;R3 加1

DEC R2 ;R2 减1

JRNZ 2006 ;R2 不为0 跳转到2006H

RET

①从2200单元开始输入延时子程序:

(2200)PUSH R3

MVRD R3,00FF

(2203)DEC R3

JRNZ 2203

POP R3

RET

运行主程序,在命令提示符下输入:G 2000↙。

程序执行结束后,在命令提示符下输入:D 5000↙;

记录5000——500F内存单元的值。这16个单元的内容是0000、0001、...000F 吗?

②修改延时子程序,将其延时改长,可将延时子程序中R3的内容赋成FFFF。

运行主程序,在命令提示符下输入:G 2000↙。

程序执行结束后,在命令提示符下输入:D 5000↙;

记录5000——500F内存单元的值。这16个单元的内容是0000、0001、...000F 吗?如果是,为什么前一个延时程序写不进去这16个单元的内容?

注意:实验完成后,取下扩展芯片(用起拔器取下芯片,取的时候一定要小心不要把芯片的引脚弄断了)。

实验考核:

1、实验完毕后上交实验报告,实验报告模板从ftp://202.204.125.21/zhanghaiyan/teaching_plan/本科生/计算机组成原理/实验/中下载,实验报告的内容包括实验目的、实验内容和结果分析,实验报告一律写成word文档。

2、将实验报告上传到ftp://202.204.125.21/zhanghaiyan/homework/计算机组成原理/各班文件夹

3、文件命名要求:学号姓名,例如你叫张三,学号为050101,则实验报告名称为“050101张三.doc”)

计算机组成原理实验报告_存储系统设计实验

实验四存储系统设计实验 一、实验目的 本实训项目帮助大家理解计算机中重要部件—存储器,要求同学们掌握存储扩展的基本方法,能设计MIPS 寄存器堆、MIPS RAM 存储器。能够利用所学习的cache 的基本原理设计直接相联、全相联,组相联映射的硬件cache。 二、实验原理、内容与步骤 实验原理、实验内容参考: 1、汉字字库存储芯片扩展设计实验 1)设计原理 该实验本质上是8个16K×32b 的ROM 存储系统。现在需要把其中一个(1 号)16K×32b 的ROM 芯片用4个4K×32b 的芯片来替代,实际上就是存储器的 字扩展问题。a) 需要4 片4个4K×32b 芯片才可以扩展成16K×32b 的芯片。 b) 目标芯片16K个地址,地址线共14 条,备用芯片12 条地址线,高两位(分 线器分开)用作片选,可以接到2-4 译码器的输入端。c) 低12 位地址直接连 4K×32b 的ROM 芯片的地址线。4个芯片的32 位输出直接连到D1,因为同时 只有一个芯片工作,因此不会冲突。 芯片内数据如何分配: a) 16K×32b 的ROM 的内部各自存储16K个地址,每个地址里存放4个字节数 据。地址范围都一样:0x0000~0x3FFF。 b) 4个4K×32b 的ROM,地址范围分别是也都一样:0x000~0xFFF,每个共有 4K个地址,现在需要把16K×32b 的ROM 中的数据按照顺序每4个为一组分 为三组,分别放到4个4K×32b 的ROM 中去。HZK16_1 .txt 中的1~4096 个数据放到0 号4K 的ROM 中,4097~8192 个数据放到 1 号4K 的 ROM 中,8193~12288 个数据放到2 号4K 的ROM 中,12289~16384个 数据放到3 号4K 的ROM 中。 c) 注意实际给的16K 数据,倒数第二个4K(8193~12288 个数据)中部分 是0,最后4K(12289~16384 数据)全都是0。因此在ROM 4K_3 号后部分 都是0,ROM 4K_3 中都是0。 2)电路设计 地址线连接

实验三:存储器读写实验

实验三存储器读写实验 一、实验目的 熟悉和了解存储器逻辑结构与总线组成的数据通路及其基本的工作原理。 理解AR地址寄存器与PC地址寄存器的各自的作用。 二、实验要求 按照实验步骤完成实验项目,掌握存储部件在原理计算机中的运用。 三、实验原理 存储器是计算机的存储部件,用于存放程序和数据。存储器是计算机信息存储的核心,是计算机必不可少的部件之一,计算机就是按存放在存储器中的程序自动有序不间断地进行工作。 本系统从提高存储器存储信息效率的角度设计数据通路,按现代计算机中最为典型的分段存储理念把存储器组织划分为程序段、数据段等,由此派生了数据总线(DBus)、指令总线(IBus)、微总线(μBus)等与现代计算机设计规范相吻合的实验环境。 实验所用的存储器电路原理如图3-1所示,该存储器组织由二片6116构成具有奇偶概念的十六位信息存储体系,该存储体系AddBus由IP指针和AR指针分时提供,E/M控位为“1”时选通IP,反之选通AR。该存储体系可随机定义总线宽度,动态变更总线结构,把我们的教学实验提高到能与现代计算机设计规范相匹配与接轨的层面。 图3-1 存储器数据通路

四、存储器分类与寻址 1. 存储器组织分类表 本系统存储器由三个部分组成,详见下表: 2. 程序存储器源与目的寻址 程序段与数据段源寻址 程序段与数据段目的寻址 注:在【单拍】按钮下降沿写入 3. 内部存储器源与目的寻址 内存段源寻址 内存段目的寻址 注:在【单拍】按钮下降沿写入

五、实验内容 将实验系统设置为手动/搭接状态,按如下所示连接线路: 2. 存储器数据段读写操作 (1) 数据段写操作(字) 在进行数据存储器字操作时,地址线A0必须为0(偶地址)。向数据段的0~0005h 存储 按照上述操作流程完成0002~0005h 单元分别写入33445566的操作。 (2) 数据段读操作(字) 执行上述流程总线单元应显示1122h ,若正确可按上述流程读出0002~0005h 单元的内容。 3. 存储器程序段读写操作 (1) 程序段字节写操作 ① 计算机规范的取指操作均以字节为单位。所以本实验以字节操作方式展开。程序段 X2 X1 X0=100 W XP E/M=000 按【单拍】按钮 按【单拍】按钮 令LDAR=1 W XP E/M=000 按【单拍】按钮 W=0

计算机组成原理存储器实验报告

计算机组成原理存储器实验报告 一、实验目的 本实验旨在通过实践了解存储器的基本原理和实现方式,掌握存储器的读写操作。 二、实验原理 存储器是计算机中用于存储数据和程序的设备,其按照不同的存取方式可分为随机存储器(RAM)和只读存储器(ROM)。其中RAM是一种易失性存储器,其存储的数据会随着电源关闭而丢失;而ROM则是一种非易失性存储器,其存储的数据在电源关闭后仍能保持不变。 本实验使用的是一个8位RAM,其具有256个存储单元,每个存储单元可以存储8位数据。RAM可以进行读写操作,读操作是将存储单元中的数据读取到CPU中,写操作是将CPU中的数据写入到存储单元中。存储单元的地址是由地址线来控制的,本实验中使用的是8位地址线,因此可以寻址256个存储单元。 三、实验仪器 本实验使用的主要仪器有:存储器板、八位开关、八位数码管、八位LED灯、地址选择开关和地址计数器等。

四、实验过程 1. 准备工作:将存储器板与开发板进行连接,并将八位开关、八位数码管、八位LED灯、地址选择开关和地址计数器等连接到存储器板上。 2. 设置地址:使用地址选择开关来设置需要读写的存储单元的地址。 3. 写操作:将需要存储的数据通过八位开关输入到CPU中,然后将CPU中的数据通过写信号写入到存储单元中。 4. 读操作:将需要读取的存储单元的地址通过地址选择开关设置好,然后通过读信号将存储单元中的数据读取到CPU中。 5. 显示操作:使用八位数码管或八位LED灯来显示读取到的数据或写入的数据。 6. 重复上述操作,进行多次读写操作,观察存储器的读写效果和数据变化情况。 五、实验结果 通过本次实验,我们成功地进行了存储器的读写操作,并观察到了存储器中数据的变化情况。在实验过程中,我们发现存储器的读写速度非常快,可以满足计算机的高速运算需求。同时,存储器的容量也非常大,可以存储大量的数据和程序,为计算机提供了强大的

存储器实验

淮海工学院计算机工程学院实验报告书 课程名:《计算机组成原理》 题目:实验四存储器实验 班级:网络121 学号: 姓名:

1、目的与要求 掌握静态随机存取存储器RAM 工作特性及数据的读写方法。 2、实验设备 ZYE1601B 计算机组成原理教学实验箱一台,排线若干。 3、实验步骤与源程序 l) 实验接线如下: ⑴ MBUS 连BUS2; ⑵ EXJ1连BUS3; ⑶ 跳线器J22的T3连TS3; ⑷ 跳线器J16的SP 连H23; ⑸ 跳线器SWB 、CE 、WE 、LDAR 拨在左边(手动位置)。 2) 连接实验线路,仔细查线无误后接通电源。 形成时钟脉冲信号T3,方法如下:在时序电路模块中有两个二进制开关“运行控制”和“运行方式”。将“运行控制”开关置为“运行”状态、“运行方式”开关置为“连续”状态时,按动“运行启动”开关,则T3有连续的方波信号输出,此时调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号;本实验中“运行方式”开关置为“单步”状态,每按动一次“启动运行”开关,则T3输出一个正单脉冲,其脉冲宽度与连续方式相同。 3) 具体操作步骤图示如下: 给存储器的00地址单元中写入数据11,具体操作步骤如下: 如果要对其它地址单元写入内容,方法同上,只是输入的地址和内容不同。 (4) 读出刚才写入00地址单元的内容,观察内容是否与写入的一致。具体操作步骤如下: 4、结果分析 CE=1 SWB=0 LDAR=1 SWB=0 CE=0 WE=1 CE=1 SWB=0 LDAR=1 SWB=1 CE=0

1)根据存储器的读写原理,填写表2.5.2。 2)记录向存储器写入数据的操作过程。 按照前面介绍的实验步骤向存储器地址为00H, 01H,02H,03H,04H,05H的单元分别写入数据:55H,33H,44H,66H,08H,F0H。 3)写出读出存储器单元内容的操作过程并记录以下地址单元读出的内容。 4)根据电路图分析向存储器置数和从存储器读数的工作原理。 存储器是计算机用来保存程序与数据的主要部件。存储器可以分为易失性和飞易失性存储器,易失性存储器中的数据在关电后就不复存在,非易失性存储器的数据在关电后不会丢失。易失性存储器又可以分为动态存储器和静态存储器,动态存储器保存信息的时间只有2ms,工作时需要不断更新,既不断刷新数据静态存储器只有不断电,信息是不会丢失的。 5、实验体会 在计算机组成原理的学习中,让我明白了存储器的定义以及原理和作用。存储器是计算机系统中主要用来存放程序和数据的记忆设备。在这次试验中要注意两个方面,有没有按复位键,另一个就是连线错误。

实验四 存储器部件教学实验

实验四存储器部件教学实验 一.主存储器部件 教学机配置了6个存储器芯片插座,其中4个28芯插座可插只读存储器,2个24芯插座可插静态随机存储器。ROMH,ROML用来存放监控程序,RAMH,RAML用来存放用户程序和数据以及监控程序临时数据和堆栈。ExtROMH,ExtROML用来对存储器容量进行扩展。可以扩展的存储器的片选信号未连好,在扩展存储器时,要为其提供片选信号。 16位机内存地址空间的分配说明: 0000H-1FFFH:监控程序 2600H-27FFH:监控程序临时数据和堆栈 2000-25FFH:用户区,可存放用户的程序和数据 4000H-FFFFH:用户扩展区,可存放用户的程序和数据。 二.存储器部件教学实验 实验目的 通过看懂教学计算机中已经使用的几个存储器芯片的逻辑连接关系和用于完成存储器容量扩展的几个存储器芯片的布线安排,在教学计算机上设计、实现并调试出存储器容量扩展的实验内容。其最终要达到的目的是: 1.深入理解计算机内存储器的功能、组成知识; 2.深入地学懂静态存储器芯片的读写原理和用他们组成教学计算机存储器系统的方法(即字、位扩展技术),控制其运行的方式; 思考并对比静态和动态存储器芯片在特性和使用场合等方面的同异之处。 实验说明 教学计算机存储器系统由ROM和RAM两个存储区组成,分别用EPROM芯片(或EEPROM 芯片)和RAM芯片构成。TEC-2000A教学计算机中还安排了另外几个存储器器件插座,可以插上相应存储器芯片以完成存储器容量扩展的教学实验,为此必须比较清楚地了解:1.TEC-2000A教学机的存储器系统的总体组成及其连接关系; 2.TEC-2000A教学机的有关存储器芯片、I/O接口芯片的片选信号控制和读写命令的给出和具体使用办法; 3.RAM和EPROM、EEPROM存储器芯片在读写控制、写入时间等方面的同异之处,并正确建立连线关系和在程序中完成正确读写过程; 4.如何在TEC-2000教学机中使用扩展的存储器空间并检查其运行的正确性。 实验内容 1.要完成存储器容量扩展的教学实验,需为扩展存储器选择一个地址,即将扩展存储器的片选信号与标有MEM /CS的一排插孔中的一个相连; 2.用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM(28系列芯片)EPROM(27系列芯片)在读写上的异同; 3.用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确; 4.用监控程序的A命令编写一段程序,对扩展存储器EEPROM(28系列芯片)进行读写,用D命令查看结果是否正确;如不正确,分析原因,改写程序,重新运行;实验要求 1.实验之前,应认真预先准备,写出实验步骤和具体设计内容,否则实验效率会特别低,一次实验时间根本无法完成实验任务,即使基本做对了,也很难说学懂了些什么重要教学内容;

实验三:内存储器部件实验

实验三内存储器部件实验 一、实验目的 1、学习和掌握ROM和RAM芯片的功能、读写原理和使用方法; 2、掌握存储器的字、位扩展技术和方法; 3、通过学习TEC-XP+计算机的存储器系统,深入理解计算机主存储器的功能和组成。 二、实验说明 TEC-XP+教学计算机存储器系统由ROM和RAM两个存储区组成。ROM存储区由2个EEPROM 芯片58C65(8192×8位)组成,容量为8192×16位。RAM存储区由2个RAM芯片6116(2048×8位)组成,容量为2048×16位。TEC-XP+教学计算机中还预留了2个存储器芯片插座,可以插上相应存储器芯片进行存储器容量扩展的教学实验。 TEC-XP+教学计算机存储器系统组成结构图 三、实验内容 1、完成存储器容量扩展实验,为扩展存储器选择一个地址,注意读写和/OE等控制信号的正确状态; 2、用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM(58系列)存储特性的区别以及在读写上的差异; 3、用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确。 四、实验步骤 重要提示: 1)确保教学机处于断电状态; 2)检查FPGA板下方的标有“RAMH/CE”、“RAML/CE”、“ROMH/CE”和“ROML/CE”的四组跳线,确保均为左边两个针短接; 3)检查RAM(6116)上方标有“/WE”的跳线插针,确保左边两个针短接。 4)将运行控制开关置为“001100”,打开教学计算机的电源;

5)进行联机操作,然后先一下按RESET键,再按一下START键。 1、RAM实验 RAM(6116)支持随机即时读写操作,可直接用A命令向存储器输入程序或用、E命令改变存储单元的内容。RAM中的内容在断电后会消失,重新启动后会发现存储单元的值发生了改变。 1)用E命令改变内存单元的值,并用D命令观察结果。 ①在命令行提示符状态下输入: E 2020↙ 屏幕显示:2020内存单元原值 按如下形式键入: 原值:2222(空格)原值:3333(空格)原值:4444(空格)原值:5555 ②在命令行提示符状态下输入: D 2020↙ 观察屏幕显示的从2020内存单元开始的值。 ③断电后重新启动教学实验机,用D命令观察内存单元2020-2023的值。 2)用A命令输入一段程序,执行并观察结果。 ①在命令行提示符状态下输入: A 2000↙ 键入如下汇编程序: 2000:MVRD R0, AAAA 2002:MVRD R1, 5555 2004:AND R0, R1 2005:RET 2006↙ ②在命令行提示符状态下输入: U 2000↙ 观察屏幕显示的内容。 ③在命令行提示符状态下输入: T 2000↙ 观察寄存器R0、R1的值。 T↙ 观察寄存器R0、R1的值。 T↙ 观察寄存器R0、R1的值。 ④在命令行提示符状态下输入: G 2000↙ ⑤运行输入程序。在命令行提示符状态下输入: R↙ 观察寄存器R0、R1的值。 ⑥断电后重新启动教学实验机,用U命令观察内存单元2000开始的内容。 2、扩展EEPROM实验 1)关闭教学计算机的电源; 2)将2片扩展的EPROM芯片—HN 58C65分别插入标有“EXTROMH”和“EXTROML”的插座中。!警告:请注意芯片的插入方向,芯片与插座的半圆形缺口方向必须相同,否则可能导致芯片烧毁,并可能波及到与该芯片相连接的其它芯片; 3)将扩展芯片插座下方标有“PGM”、“/OE”和“/WE”三组跳线插座的左边两个插针短接(即“PGM”

实验3 存储器 实验报告

实验3 存储器实验报告 一、实验目的: 1、了解RAM、ROM存储器的基本原理和工作特点; 3、锻炼学生实验动手操作能力; 4、培养学生动手实践能力和综合实践能力。 二、实验器材: 1、实验箱一台 2、万用表一只 3、示波器一台 4、电源一台 5、电缆若干。 三、实验步骤: 1、RAM存储器的读写实验 (1) 在实验箱面板上取下RAM存储器的锁孔垫片。 (2) 把读输出线、写输出线、地址线和读写控制信号线依次通过实验箱面板相应的接口引出。 (3) 接通电源,调整数据总线和地址总线的电位为0。 (4) 将读写控制信号线设置为0,地址信号线设置为读取需要存储的地址,读输出线高电平表示RAM存储器中对应地址的数据。 (3) 输入ROM存储器的地址信号线。 (5) 将读输出线接入示波器,观察输出波形,并记录读取数据的值。 四、实验原理 在RAM存储器中,每个存储单元都有独立的地址(A)和数据(D)输入输出端,以及读/写控制端(R/W)。地址(A)对应每个存储单元的物理位置,是用来选中存储单元的。地址线上的二进制状态就表示选中哪个存储单元。数据线输入/输出的数据信号(D)就是存储在RAM

单元中的数据。读/写控制信号(R/W)控制读/写操作进行的时刻。当R/W为高(写状态)时,数据D将被装入被选择的RAM单元;当R/W为低(读状态)时,被选RAM单元中的数据将被送到数据输出线上。 RAM存储器仅有一组共用地址线和数据线,但相邻地址所在RAM单元不仅具有物理上的相邻,相邻单元的地址与其中一个单元的地址只有最后一位不同,故相邻单元的装入和取出数据时间相等。 ROM存储器是一种只读存储器。在ROM芯片中,存储的数据是在生产过程中被制成常数并固定在芯片中的。一般情况下,ROM内单元的存储内容不能被修改。ROM存储器主要的工作就是读取存储在ROM内的信息内容。ROM存储器的读取输入信息只有地址信号,它的电信号SON每个ROM单元接受地址信号时(即选中时),ROM单元需要将存储在其中的信息送到ROM芯片上的输出线上。 五、实验结果分析 读取RAM存储器内数据的操作应当实现正确的指令(对非单址指令只取操作中最后一个存储单元的数据)。通过调整地址线和读输出线的状态以及K2电位,从而实现对待读取的RAM存储器地址及其存储的数据的读出。在实验过程中,我们可以利用LED等器件来观察读写操作结果。在进行存储操作时,确保RAM单元内原来的数据没有丢失,在读出操作时能够正确地读取存储器中的数据。 在ROM存储器中,需要读出数据的存储单元是由输入地址决定的,而不必是进行写入操作的ROM地址单元。从读取出的数据中所得到的ROM地址单元可以通过多种方式进行验证——比如他们的二进制形式相同。我们可以通过观察ROM数据线上的电平状态,来判断ROM内存储的数据的正确性。 六、实验心得 在实验中,我们深度了解了RAM存储器和ROM存储器的基本原理和操作方法,通过实验来促进对RAM存储器中建立“二进制地址—数据”映射(静态逻辑)的理解。 通过这次实验,我们不仅对存储器的基本原理有了更深入的了解,同时也掌握了实际操作技能,知晓了存储器的读写操作。这些都使我们在今后的学习和工作中有更为坚实的基础。

存储器实验 总结

存储器实验总结 1. 引言 存储器在计算机系统中起到了至关重要的作用。它用于存储和检索数据,是计 算机进行信息处理和数据传输的基础。本文将总结存储器实验的过程、方法和结果,并对实验中遇到的问题以及取得的成果进行分析和评价。 2. 实验过程 2.1 实验目标 本次实验的目标是通过搭建存储器系统的实验平台,了解存储器的工作原理和 性能,并通过实际操作和测试验证相关理论。 2.2 实验步骤 1.搭建存储器系统实验平台:根据实验指导书提供的材料和方法,组装 并连接存储器系统的硬件设备。 2.熟悉实验设备:了解存储器系统的各个组成部分的功能,学习使用实 验设备的操作方法。 3.进行实验操作:按照实验指导书的要求,进行存储器的读写操作、存 储器容量和速度的测试等。 4.记录实验数据和结果:准确记录实验过程中的数据和结果,包括读写 操作的时间、存储器容量的测量值等。 5.分析实验结果:根据实验数据和结果,分析存储器的性能和工作原理。 2.3 实验设备和环境 •操作系统:Windows 10 •实验平台:Intel x86 架构的计算机 •实验设备:存储器模块、存储器控制器、数据总线、控制总线等 •编程工具:C++ 编译器、汇编器等 3. 实验结果 3.1 存储器的读写操作 在实验过程中,我们分别进行了存储器的读操作和写操作,并记录了每次操作 的时间。通过对比不同操作的时间,我们可以评估存储器的读写速度。实验结果显示,存储器的读操作平均时间为 X 毫秒,写操作平均时间为 Y 毫秒,表明存储器 的读写速度较为稳定。

3.2 存储器容量的测量 我们还对存储器的容量进行了测试。实验中,我们分别使用不同大小的数据块 对存储器进行写入,然后读取存储器中的数据块,并记录了写入和读取的时间。通过对比不同数据块的操作时间,我们可以评估存储器的容量。实验结果显示,存储器的容量为 Z 字节,并且与设备说明书中的容量一致。 3.3 实验中的问题和解决方案 在实验过程中,我们也遇到了一些问题,例如实验设备的连接错误、数据传输 错误等。针对这些问题,我们及时检查和排错,通过调整硬件连接或重新测试等方法进行解决。通过解决这些问题,我们获得了准确的实验数据,并完成了实验目标。 4. 实验结论 本次存储器实验通过搭建实验平台、进行实验操作和数据测试,深入了解了存 储器的工作原理和性能。通过实验结果的分析、问题的解决和实验目标的达成,我们得出了以下结论: 1.存储器的读写速度较为稳定,平均读操作时间为 X 毫秒,写操作时 间为 Y 毫秒。 2.存储器的容量为 Z 字节,并与设备说明书中的容量一致。 3.在实验过程中遇到的问题通过检查和排错等方法得以解决,获得了准 确的实验数据。 5. 结束语 通过本次存储器实验,我们不仅了解了存储器的工作原理和性能,还培养了实 际操作和问题解决的能力。存储器作为计算机系统中的重要组成部分,在数据存储和传输方面发挥着关键作用。通过深入了解存储器,我们能够更好地理解和应用计算机系统中的其他组件和技术。我们相信本次实验对我们未来的学习和工作具有重要的指导意义。

实验一 存储器实验

实验一存储器实验 1.FPGA中LPM_ROM定制与读出实验 一.实验目的 1、掌握FPGA中lpm_ROM的设置,作为只读存储器ROM的工作特性和配置方法。 2、用文本编辑器编辑mif文件配置ROM,学习将程序代码以mif格式文件加载于 lpm_ROM中; 3、在初始化存储器编辑窗口编辑mif文件配置ROM; 4、验证FPGA中mega_lpm_ROM的功能。 二.实验原理 ALTERA的FPGA中有许多可调用的LPM (Library Parameterized Modules)参数化的模块库,可构成如lpm_rom、lpm_ram_io、lpm_fifo、lpm_ram_dq的存储器结构。CPU 中的重要部件,如RAM、ROM可直接调用他们构成,因此在FPGA中利用嵌入式阵列块EAB可以构成各种结构的存储器,lpm_ROM是其中的一种。lpm_ROM有5组信号:地址信号address[ ]、数据信号q[ ]、时钟信号inclock、outclock、允许信号memenable,其参数都是可以设定的。由于ROM是只读存储器,所以它的数据口是单向的输出端口,ROM中的数据是在对FPGA现场配置时,通过配置文件一起写入存储单元的。图3-1-1中的lpm_ROM有3组信号:inclk——输入时钟脉冲;q[23..0]——lpm_ROM的24位数据输出端;a[5..0]——lpm_ROM的6位读出地址。 实验中主要应掌握以下三方面的内容: ⑴ lpm_ROM的参数设置; ⑵ lpm_ROM中数据的写入,即LPM_FILE初始化文件的编写; ⑶lpm_ROM的实际应用,在GW48_CP+实验台上的调试方法。 三.实验步骤 (1)用图形编辑,进入mega_lpm元件库,调用lpm_rom元件,设置地址总线宽度address[]和数据总线宽度q[],分别为6位和24位,并添加输入输出引脚,如图3-1-1设置 和连接。 (2)设置图3-1-1为工程。 (3)在设置lpm_rom数据参数选择项lpm_file的对应窗口中(图3-1-2),用键盘输入lpm_ROM配置文件的路径(rom_a.mif),然后设置在系统ROM/RAM读写允许,以便

实验2存储器实验

实验2 存储器实验 一、实验目的 1、了解双端口静态随机存储器IDT7132的工作特性及使用方法 2、了解半导体存储器怎样存储和读出数据。 3、了解双端口存储器怎样并行读写,产生冲突的情况如何。 二、实验电路 图7示出了双端口存储器的实验电路图。这里使用了一片IDT7132(U36)(2048×8位),两个端口的地址输入A8—A10引脚接地,因此实际使用存储容量为256字节。左端口的数据部分连接数据总线DBUS7-DBUS0,右端口的数据部分连接指令总线INS7-INS0。一片GAL22V10(U37)作为左端口的地址寄存器(AR1),内部具有地址递增的功能。两片4位的74HC298(U28,U27)作为右端口的地址寄存器(AR2H,AR2L),带有选择输入地址源的功能。使用两组发光二极管指示灯显示地址和数据:通过开关IR/DBUS切换显示数据总线DBUS 和指令寄存器IR的数据,通过开关AR1/AR2切换显示左右两个端口的存储地址。写入数据由实验台操作板上的二进制开关SW0-SW7设置,并经过SW-BUS三态门74HC244(U38)发送到数据总线DBUS上。指令总线INS上的指令代码输

出到指令寄存器IR(U20),这是一片74HC374。 存储器IDT7132有6个控制引脚:CEL#,LRW,OEL#,CER#,RRW,OER#。CEL#,LRS,OEL#控制左端口读、写操作,CER#,RRW,OER#控制右端口读、写操作。CEL#为左端口选择引脚,低有效。当CER#=1时,禁止左端口读、写操作;当CER#=0时,允许左端口读、写操作。当LRW为高时,左端口进行读操作;当LRW为低时,左端口进行写操作。当OER#为低时,将左端口读出的数据放到数据总线DBUS上;当OER#为高时,禁止左端口读出的数据放到数据总线DBUS上。CER#,RRW,OER#控制右端口读、写操作的方式与CEL#,LRW,OER#控制左端口读、写操作的方式类似,不过右端口读出的数据放到指令总线上而不是数据总线上。实验台上的OEL#由LRW经反相产生。当CEL#=0且LRW=1时,左端口进行读操作,同时将读出的数据放到数据总线DBUS上。当CER#=0且LRW=0时,在T3的上升沿开始进行写操作,将数据总线上的数据写入存储器。实验台上已连接T3到时序发生器的T3输出。实验台上的OER#已固定接地,RRW固定接高电平,CER#由CER反相产生,因此当CER=1且LDIR=1时,右端口读出的指令在T4的上升沿打入IR寄存器。 存储器的地址由地址寄存器AR1,AR2提供,而AR1和AR2的内容根据数码开关SW0-SW7设置产生,并经三态门SW-BUS发送到数据总线时被AR1或AR2接收,三态门的控制信号SW-BUS#是低电平有效。数据总线DBUS有5个数据来源:运算器ALU,寄存器堆RF,控制台开关SW0-SW7,双端口存储器IDT7132和中断地址寄存器IAR。在任何时刻,都不允许两个或者两个以上的数据源同时向数据总线DBUS输送数据,只允许一个(或者没有)数据源向数据总线DBUS输送数据。在本实验中,为了保证数据的正确设置和观察,请令RS-BUS#=1,ALU-BUS=0,IAR-BUS#=1。AR1的控制信号是LDAR1和AR1-INC。当LDAR1=1时,AR1从DBUS接收地址;当AR1-INC=1时,使AR1中的存储器地址增加1;在T4的上升沿,产生新的地址;LDAR1和AR1-INC=1两者不可同时为1。AR2的控制信号是LDAR2和M3。当M3=1时,AR2从数据总线DBUS接收数据;当M3#=0时,AR2以PC总线PC0-PC7作为数据来源。当LDAR2=1时,在T2的下降沿,将新的PC值打入AR2。 三、实验设备 1、TEC-2计算机组成的原理实验系统1台 2、双踪示波器一台 3、直流万用表一只 4、逻辑测试笔一支 四、实验任务

存储器实验实验报告

存储器实验实验报告

一、实验目的 练习使用STEP开关 了解地址寄存器(AR)中地址的读入了解STOP和STEP开关的状态设置了解向存储器RAM中存入数据的方法了解从存储器RAM中读出数据的 二、实验设备 1、TDM。叫组成原理实验仪一台 2、导线若十 3、静态存储器:一片6116 (2K*8)芯片 地址锁存器(74LS273) 地址灯AD0 — AD7 三态门(74LS245) 三、实验原理 实验所用的半导体静态存储器电路原理如图所示, 实验中的静态存储器由一片6116 (2K*8)芯片构成,其数据 线接至数据总线,地址线由地址锁存器(74LS273)给出。 地址灯AD(P AD7与地址线相连,显示地址线状况。数据开关 经一个三态门(74LS245)连至数据总线,分时给出地址和数据。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔 中,在时序电路模块中有两个二进制开关“ STOP和“STEP ,将 “STOP开关置为“ RUN状态、“ STEP开关置为“ EXEC状态时,按动微动开关START则TS3端输出连续的方波信号当“ STOP开关置为RUN 犬态,“STEP开关置为“ STEP状态时,每按动一次微动开关“ start ”,则TS3输出一个单脉冲,脉冲宽度与连续方式相同。

四、实验内容 如下图 存储器实验接线图

练习使用STEP开关 往地址寄存器(AR)中存入地址 设置STOP和STEP开关的状态: 从数据开关送地址给总线:SW-B= 打开AR,关闭存储器:LDAR=—、CE= 按下Start产生T3脉冲 关闭AR,关闭数据开关:LDAR=_、SW-B= (二) 往存储器RAM中存入数据 1. 设定好要访问的存储器单元地址 2. 从数据开关送数给总线:SW-B= 3. 选择存储器片选信号:CE=— 4. 选择读或写:WE= 5. 按下Start产生T3脉冲 6. 关闭存储器片选信号:CE=— 7. 关闭数据开关:SW-B=— (三) 从存储器RAM中读出数据 1. 设定好要访问的存储器单元地址 2. 选择存储器片选信号:CE=— 3. 选择读或写:WE= 4. 按下Start产生T3脉冲 5. 关闭存储器片选信号:CE=

静态随机存储器实验报告

静态随机存储器实验报告 1. 背景 静态随机存储器(SRAM)是一种用于存储数据的半导体器件。与动态随机存储器(DRAM)相比,SRAM速度更快、功耗更低,但成本更高。SRAM通常用于高速缓存、 寄存器文件和数据延迟线等需要快速访问的应用。 本实验旨在通过设计和实现一个简单的SRAM电路来深入了解SRAM的工作原理和性能特点。 2. 设计和分析 2.1 SRAM基本结构 SRAM由存储单元组成,每个存储单元通常由一个存储电容和一个存储转换器(存储 反转MOSFET)组成。存储电容用于存储数据位,存储转换器用于读取和写入数据。 存储单元按照空间布局进行编址,每个存储单元都有一个唯一的地址。地址线和控制线用于选择要读取或写入的存储单元。 SRAM还包括写入电路、读取电路和时钟控制电路等。 2.2 SRAM工作原理 在SRAM中,数据是以二进制形式存储。写入操作通过将所需的位值写入存储电容 来完成。读取操作通过将控制信号应用到存储单元和读取电路上来完成。 读取操作的过程如下: 1. 选择要读取的存储单元,将其地址输入到地址线上; 2. 控制信号使存储单元的存储转换器进入放大模式,将存储电容中的电荷放大到可观测的输出电压; 3. 读取电路将放大后的信号恢复到合适的电平,供外部电路使用。 写入操作的过程如下: 1. 选择要写入的存储单元,将其地址输入到地址线上; 2. 控制信号使存储单元的存储转换器进入写入模式; 3. 将数据位的值输入到写入电路; 4. 控制信号触发写入电路将输入的值写入存储电容。

2.3 SRAM性能指标 SRAM的性能指标主要包括存储体积、访问速度、功耗和稳定性。 存储体积是指存储单元和控制电路的总体积,通常以平方毫米(㎡)为单位衡量。 访问速度是指读写操作的平均时间。它受到电路延迟、线材电容和电阻等因素的影响。 功耗是指SRAM在正常操作期间消耗的总功率,通常以毫瓦(mW)为单位衡量。功耗 由静态功耗和动态功耗组成,其中静态功耗是在存储器处于静止状态时消耗的功率,动态功耗是在读取和写入操作期间消耗的功率。 稳定性是指在操作过程中SRAM的可靠性和抗干扰性。它受到电源电压、温度、噪 声等因素的影响。 3. 实验结果和分析 3.1 实验设备和方法 本实验使用Verilog硬件描述语言进行电路设计,使用Modelsim仿真工具进行电 路仿真。 实验电路包括一个4×4的SRAM和控制电路。仿真时,我们可以随机生成读取和写入操作的序列,并观察SRAM的输出。 3.2 实验结果 通过对仿真数据的观察,我们可以得出以下结论: 1.存储单元工作正常。在给定的地址输入下,SRAM可以正确地读取和写入数 据。 2.存储体积较小。由于本实验中采用的是较小的4×4 SRAM,所以存储体积相 对较小。 3.访问速度较快。由于SRAM具有较快的读写速度,所以数据可以较快地从 SRAM中读取或写入。 4.功耗较低。由于SRAM是静态存储器,只有在读写操作时才会消耗功耗,因 此功耗较低。

实验四 存储器实验

实验四存储器实验 一、实验目的:掌握静态随机存储器的工作特性及数据的读写方法。 二、实验设备:CCT-IV计算机组成原理教学实验系统一台,排线若干。 三、实验内容 1、实验原理 实验所用的半导体静态存储器电路原理图如图4所示。本实验所用的静态存储器由一片6116(2K × 8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS373)给出。地址灯AD0-AD7与地址线相连,显示地址线内容。数据开关经过一个三态门(74LS245)连至数据总线,分时给出地址和数据。 因地址寄存器为8位,接入6116的地址A7-A0,而高三位A8-A10接地,所以其实际容量为256字节。6116有三个控制线:CE(片选线)、OE(读线)、WE (写线)。当片选CE=0,读信号OE=0时,进行读操作,当片选CE=0,写信号WE=1时,进行写操作。由于本实验中将OE常接地,这样,当CE=0、WE=0时进行读操作,CE=0、WE=1时进行写操作,写时间与T3脉冲宽度一致。 2、接线图 3、实验步骤 (1)形成时钟脉冲信号T3,集体接线方法和操作步骤如下: ①接通电源,用示波器接入方波信号源的输出插孔H24,调节电位器W1,

使H24端输出实验所期望的频率的方波。 ②将时序电路模块中的ø和H23相连,CLR置“1”。 ③在时序电路模块中由两个二进制开关“STOP”和“STEP”。将“STOP”开关置为“RUN”状态、将“STEP”开关置为“EXEC”状态时,按动微动开关START,则T3输出连续的方波信号,此时调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号。当“STOP”开关置为“RUN”状态、“STEP”开关置为“STEP”状态时,每按动一次微动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。 ④关闭电源。 图四存储器实验原理图 (2)按照原理图,参考连线图连接电路,仔细查线无误后,接通电源。由于存储器模块内部连线已经接好,因此只需完成实验电路的形成、控制信号模拟开关、时钟脉冲信号T3与存储模块的外部连线。 (3)给存储器的00、01、02、03、04地址单元中分别写入数据11、12、13、14、15,具体操作步骤入下所示:(以向0号单元写入数据11为例)

储存器实验

HUNAN UNIVERSITY 实验报告 题目:储存器实验 学生姓名姚小涛 学生学号20090820632 专业班级通信六班 指导老师刘峰 完成日期2011年10月26日

实验二储存器实验 基本知识点 1、随机储存器RAM的工作特性及使用方法。 2、RAM数据存储和读取的工作原理。 3、LPM存储类元件定制。 实验设备 1、PC机一台 2、自制数字系统试验箱 3、Quartusll配套软件 实验概述 计算机的存储器是各种二进制信息的记忆装置。计算机中的内存是计算机不可缺少的主要功能部件,用来存放计算机正在执行或将要执行的程序和数据信息。 预习报告 1.Lpm-ram-io参数设置中的Lmp-numwords、Lpm-width、Lpm-widthad分别代 表什么含义?如何设置? 答:Lmp-numwords为存储单元的数目。Lpm-width为数据宽度、Lpm-widthad地址总线宽度。以上数据可在symbol properties中设置。 2.Lpm-ram-io参数设置中的lpm-file含义是什么?如何编写此类文件? 答:Lpm-ram-io参数设置中的lpm-file含义是ram里面的数据。 File---new---memory initialization file(.mif)文件。 3.1024*8bit的RAM应有几根地址线?存储单元为4bit的RAM其存储容量 为? 答:这个RAM有10根地址线,存储单元为4bit的RAM其存储容量为4Kb(容量计算方法为地址的字*数据的位) 4.如何将2片512*4bit的RAM构成容量为512*8bit的存储体?画出简单电路 图? 答: 5.如何将2片512*4bit的RAM构成容量为1024*4bit的存储体?画出简单电

实验一 存储器部件手动实验

实验一 存储器部件手动实验 一、 实验目的 1、 学习读写ram6116和使用ROM58C65芯片的操作过程和控制方法,为正确构建和运行计算机的内存部件打下基础。 2、 进一步理解器件之间信息交换的可行方案,了解MACH 芯片和存储器芯片之间的接线关系。 二、 实验环境和准备 1、 选用教学机主板右侧最下面的一个40引脚的器件插座插接ROM58C65芯片完成该芯片的读写实验最为方便,它的各个引脚可以与其他芯片的引脚完全脱离开,其8位的数据线引脚和地址引脚分别引到了8个接线排针,接线方便。 2、 选用实验方式一完成ram6116芯片的读写操作,需要关掉MACH 器件的电源,可以取下主板上的2片Am2901芯片。选用实验方式二还要使用MACH 芯片,需要打开MACH 器件的电源。2种方式需要进行不同的接线。主板上的单步/连续开关处于单步状态。 3、 实验过程中需要处理不同的连线关系,8位的地址和数据使用8位的排线进行连接,3位的控制信号使用单根连接线进行连接。 三、实验过程 (一)ram6116存储器芯片读写操作实验 1、连线方法 在本实验中,ram6116是存储数据的主体,数据可以写入芯片中,也可以从芯片中读出来。实现方案及线路连接关系如图-1所示。要执行芯片读写,片选信号cs (引脚18)应为低电平。读还是写取决于读写命令we (引脚21),低电平是写操作,高电平是读操作。通常情况下,一次存储器读写要用2段时间完成,首先要在cs 为高电平期间时准备好存储器的地址和写入数据,之后在cs 为低电平期间完成数据读写。 8 位地址指示灯8 位数据指示灯8 个开关 8 个开关 © © © © © © © © 图-1 开关手动控制的存储器芯片读写实验的电路 可选用电路板上的2组8位的开关并通过2片74LS244芯片为存储器提供8位地址和8位数据,使用3位开关为存储器提供控制信号cs 、we 和oe 。可以使用8位的排线来连接8位的数据、地址信息,把2片244器件的输出分别连接到ram6116的数据引脚(数据总线DB )和地址引脚(地址总线AB ),DB 、AB 都接有指示灯,便于查看存储器的读写数据和地址信息。2位开关提供的控制信号可用2条单根导线经接线插孔连接。需要为2片244芯片提供控制信号K1、K2,当控制信号K1、K2为低电平时,开关所拨信息会传送到244芯片的输出引脚,为高时,244芯片的输出变为高阻状态。

存储器实验

南京晓庄学院 信息工程学院 计算机组成原理课程 实 验 报 告 实验名称:存储器实验 年级专业班级:14级计算机科学与技术专业14计算机转本1 班级学号:14131504 姓名:康志勇 时间:2016 年 11月26 日 一、实验目的、要求:

EX D 0EX D 1EX D 2EX D 3EX D 4EX D 5EX D 6EX D 7 A 010A 19A 28A 37A 46A 55A 64A 73A 825A 924A 1021A 1123A 122CS1 20 CS226WE 27O E 22D 011D 112D 213D 315D 416D 517D 618D 7 19 U 52 6264 GND V CC A D0A D1A D2A D3A D4A D5A D6A D7 CE` P 1.2 P 1.1 图3-5 D 13Q 1 2 D 24Q 2 5 D 37Q 3 6 D 48Q 4 9 D 513Q 5 12 D 614Q 6 15 D 717Q 7 16 D 818 Q 819 CLK 11CLR 1 U 3774LS273 V CC A 02A 13A 24A 35A 46A 57A 68A 79 B0 18 B1 17 B2 16 B3 15 B4 14 B5 13 B6 12 B7 11 E 19 D IR 1U 5174LS245 SWB`V CC GND K D6K D5K D4K D3K D0 K D2K D7K D1输入数据 L Z D 0-L Z D 7 U N2A 74LS08 LDA R T32 1 3 L D 0-L D 7L A D 0-L A D 7 45 6T3WE U N2B 74001、掌握静态随机存取存储器RAM 工作特性及数据的读写方法。 二、实验仪器设备、器件及环境: 仪器设备名称 规格型号 编号 备注 模型机运算器 DVCC-C8JH 20112034 三、实验方法、原理: 图1.1.1 主存储器单元电路主要用于存放实验机的机器指令,如图1.1.1所示,它的数据总线挂在 外部数据总线EXD0~EXD7上;它的地址总线由地址寄存器单元电路中的地址寄存器74LS273(U37)给出,地址值由8个LED 灯LAD0~LAD7显示,高电平亮,低电平灭;在手动方式下,输入数据由8位数据开关KD0~KD7提供,并经一三态门74LS245(U51)连至外部数据总线EXD0~EXD7,实验时将外部数据总线EXD0~EXD7用8芯排线连到内

计算机组成实验五-存储器读写实验

实验五存储器读写实验 一、实验目的 1.掌握存储器的工作特性。 2.数学静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 存储器是计算机的主要部件,用来保存程序和数据。从工作方式上分类,存储器可分成易失性和非易失性存储器,易失性存储器中的数据在关电后将不复存在,非易失性储器又可分为动态存储器和静态存储器,动态存储器保存信息的时间只有2ms,工作时需要不断更新,既不断刷新数 据;静态存储器只要不断电,信息是不会丢失的。为简单起见,计算机组成实验用的是容量为2K的镜头存储器6116。 1.静态存储器芯片6116的逻辑功能 6116是一种数据宽度为8位(8个二进制位),容量为2048字节的态存储器芯片,封在24引脚的封装中,封装型式如图2-7所示。 6116芯片有8根双向三态数据线D7-D0,所谓三态是指输入状态、输 出状态和高阻状态,高阻状态数据线处于一种特殊的“断开”状态; 11根地址线A10-A0,指示芯片内部2048个存储单元号;3根控制线片选控制信号,低电平时,芯片可进行读写操作,高电平时,芯片 保存信息不能进行读写;为写入控制信号,低电平时,把数据线上 的信息存入地址线A10-A0指示的存储单元中;为输出使能控制信 号,低电平时,把地址线A10-A0指示的存储单元中的数据读出送到数 据线上。芯片控制信号逻辑功能见表2-9。

图2-7 存储器部件电路图 2.存储器实验单元电力路 因为在计算机组成原理实验中仅用了256个存储单元,所以6116芯片的三根地址线A11-A8接地也没有多片联用问题,片选信号接地使芯片总是处于被选中状态。芯片的WE和信号分别连接实验台的存储器写信号和存储器读写信号,存储器实验单元逻辑电路如图2-7所示。这种简化了控制过程的实验电路可方便实验进行,存储器实验单元电路控制信号逻辑功能见表2-10。 3.存储器实验电路 存储器读/写实验需呀三部分电路共同完成:存储器单元(MEM UNIT)、地址寄存器单元(ADDRESS UNIT)和输入、输出单元

相关文档
最新文档