计算机组成原理存储器实验报告

计算机组成原理存储器实验报告

一、实验目的

本次实验的目的是通过实际操作,了解存储器的组成和工作原理,掌握存储器的读写操作。

二、实验原理

存储器是计算机中的重要组成部分,用于存储程序和数据。存储器按照存储介质的不同可以分为内存和外存,按照存储方式的不同可以分为随机存储器(RAM)和只读存储器(ROM)等。

本次实验使用的是随机存储器,随机存储器是一种易失性存储器,数据在断电后会丢失。随机存储器按照存储单元的位数可以分为8位、16位、32位等,按照存储单元的数量可以分为256×8、512×16、1024×32等。

随机存储器的读写操作是通过地址线和数据线来实现的。读操作时,CPU将要读取的地址通过地址线发送给存储器,存储器将该地址对应的数据通过数据线返回给CPU。写操作时,CPU将要写入的数据通过数据线发送给存储器,存储器将该数据写入到对应的地址中。

三、实验器材

1. 存储器芯片:AT24C02

2. 单片机:STC89C52

3. 电源、示波器、万用表等

四、实验步骤

1. 连接电路

将AT24C02存储器芯片和STC89C52单片机按照电路图连接好,连接好电源和示波器等设备。

2. 编写程序

编写程序,实现对AT24C02存储器的读写操作。程序中需要设置存储器的地址和数据,以及读写操作的指令。

3. 烧录程序

将编写好的程序通过编程器烧录到STC89C52单片机中。

4. 运行程序

将电源接通,运行程序,观察示波器上的信号波形,检查读写操作是否正确。

五、实验结果

经过实验,我们成功地实现了对AT24C02存储器的读写操作。通过示波器观察到了地址线和数据线的信号波形,证明了程序的正确性。

六、实验总结

通过本次实验,我们深入了解了存储器的组成和工作原理,掌握了存储器的读写操作。同时,我们也学会了如何编写程序并将程序烧录到单片机中。这些知识对于我们深入学习计算机组成原理和嵌入式系统开发都具有重要的意义。

计组实验报告范文-3存储器和IO扩展实验

计组实验报告范文-3存储器和IO扩展实验综合实验报告 (2022--2022年度第一学期) 名称:计算机组成原理综合实验题目:存储器和I/O扩展实验院系: 计算机系班级:学号:学生姓名:指导教师:设计周数:一周 成绩: 日期年月 一、目的与要求实验目的: (1)熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差 异之处;学习用编程器设备向EEPROM芯片内写入一批数据的过程和方法。 (2)理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案;(3)了解静态存储器系统使用的各种控制信号之间正常的时序关系; (4)了解如何通过读、写存储器的指令实现对58C65ROM芯片的读、 写操作;(5)加深理解存储器部件在计算机整机系统中的作用;(6)学 习串行口的正确设置和使用。实验要求: (1)实验之前认真预习,明确实验目的和具体内容,设计好扩展8K 字存储器容量的线路图,标明数据线和控制信号的连接关系,做好实验之 前的必要准备; (2)想好实验步骤,明确通过实验到底可以学习哪些知识,想想怎 么样有意识的提高教学实验的真正效果;

(3)在教学实验过程中,要爱护教学实验设备和用到的辅助仪表, 记录实验步骤中的数据和运算结果,仔细分析遇到的现象与问题,找出解 决问题的办法,有意识的提高自己的创新思维能力; (4)实验之后认真写出实验报告,总结自己再实验过程中的收获, 善于总结和发现问题。二、实验正文 1.主存储器实验内容 1.1 实验的教学计算机的存储器部件设计(说明只读存储器的容量、随机 读写器的容量,各选用了什么型号及规格的芯片、以及地址空间的分布)答:ROM存储区选用4片长度8位、容量8KB的58C65芯片实现,RAM 存储区选用2片长度8位、容量2KB的6116芯片实现,每2个8位的芯 片合成一组用于组成16位长度的内存字,6个芯片被分成3组,其地址 空间分配关系是:0-1777h用于第一组ROM,固化监控程序,2000-2777h 用于RAM,保存用户程序和用户数据,其高端的一些单元作为监控程序的 数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩展内存 容量(存储器的字、位扩展)的教学实验 1.2 扩展8K字的存储空间,需要多少片58C65芯片,58C65芯片进行读 写时的特殊要求 答:第一,要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8192个单元、每个单元由8个二进制位组成)存储器芯 片实现。第二,当存储器选用58C65ROM芯片时,它属于电可擦出的EPROM器件,可以通过专用的编程器软件和设备向芯片的写入相应的内容,

计算机组成原理存储器实验报告

福建农林大学计算机与信息学院信息工程类实验报告 级 2007专业:系:年级:计算机系计算机科学与技术 计算机组成原理实验课程:姓名:学号:日月11 2009实验设备号: 1 实验时间:年5实验室号:__ 成绩:指导教师签字: 存储器实验实验二 .实验目的和要求1RAM工作特性。1.掌握静态随机存储器RAM的数据读写方法。2.掌握静态随机存储器 2.实验原理实验中的双端口静态存储所示,2-1实验所用的半导体双 端口静态存储器电路原理如图)和控-I/O-A)、数据线(I/O器的左端口和右端口,它们分别具有各自独立的地址线(A7900结构图。在实验系统的大多数实中的7130)。它的结构参考附录制线(R/W,CE,OE,BUSY1控制线,使用方法与通用的单端口静态存该芯片仅使用了右端口的数据线、地址线、验中,右端口数本节实验中左、储器相同;在做与流水相关的实验中同时用到了它的左、右端口。LI08LI01—)给出。地址灯据线接至数据总线,左、右端口地址由地址锁存器(74LS273)连至数据总74LS245与地址总线相连,显示地址内容。输入单元的数据开关经一三态门(线,分别给出地址和数据。 2-1 图存储器实验原理 地址总线为8位,接入IDT7130的地址AL7—AL0与AR0—AR7,将IDT7130的高两位AR8-AR9接地,所以其实际容量为256字节。IDT7130两个端口分别有三个独立的控制线,如右边有:CER(右端口片选线)、OER(右端口读线)、R/WR(右端口写线)。本实验中将左、右

端口的读线OER常接地,在此情况下,当CER=0、R/WR=0时进行右端口写操作,CER=0、R/WR=1时进行右端口读操作,其写时间与T3脉冲宽度一致。原理图中右端口的地址线AR8—AR9接地,其访问实际容量为256字节。同时由于左端口的写信号R/WL常接地=高电平,所以左端口的写功能被封锁了,故实验时输入数据从右端口写入,从左端口读出。实验时,将T3脉冲接至实验板上时序电路模块的TS3相应插针中,其它电平控制信号由开关单元的二进制开关给出,其中SW_G为低电平有效,LDAR为高电平有效。 3.主要仪器设备(实验用的软硬件环境) ZY15Comp12BB计算机组成原理教学实验箱一台,排线若干。 4.操作方法与实验步骤 1.形成时钟脉冲信号T3,具体接线方法和操作步骤如下: ①将S信号单元中的TS3和T3用排线相连。 ②将控制台单元中的两个二进制开关“SP03”设置为“STEP”状态、“SP04”设置为“RUN”状态(当“SP03”开关设置为“RUN”状态、“SP04”开关设置为“RUN”状态时,每按动一次触动开关START,则T3的输出为连续的方波信号。当“SP03”开关设置为“STEP”状态、“SP04”开关设置为“RUN”状态时,每按动一次触动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。) 2.按图3-2连接实验线路,仔细检查无误后接通电源。(图中箭头表示需要接线的地方,接总线和控制信号时要注意高低位一一对应,可用彩排线的颜色来进行区分) 图3-2 存储器实验接线图

计算机组成原理实验报告_存储系统设计实验

实验四存储系统设计实验 一、实验目的 本实训项目帮助大家理解计算机中重要部件—存储器,要求同学们掌握存储扩展的基本方法,能设计MIPS 寄存器堆、MIPS RAM 存储器。能够利用所学习的cache 的基本原理设计直接相联、全相联,组相联映射的硬件cache。 二、实验原理、内容与步骤 实验原理、实验内容参考: 1、汉字字库存储芯片扩展设计实验 1)设计原理 该实验本质上是8个16K×32b 的ROM 存储系统。现在需要把其中一个(1 号)16K×32b 的ROM 芯片用4个4K×32b 的芯片来替代,实际上就是存储器的 字扩展问题。a) 需要4 片4个4K×32b 芯片才可以扩展成16K×32b 的芯片。 b) 目标芯片16K个地址,地址线共14 条,备用芯片12 条地址线,高两位(分 线器分开)用作片选,可以接到2-4 译码器的输入端。c) 低12 位地址直接连 4K×32b 的ROM 芯片的地址线。4个芯片的32 位输出直接连到D1,因为同时 只有一个芯片工作,因此不会冲突。 芯片内数据如何分配: a) 16K×32b 的ROM 的内部各自存储16K个地址,每个地址里存放4个字节数 据。地址范围都一样:0x0000~0x3FFF。 b) 4个4K×32b 的ROM,地址范围分别是也都一样:0x000~0xFFF,每个共有 4K个地址,现在需要把16K×32b 的ROM 中的数据按照顺序每4个为一组分 为三组,分别放到4个4K×32b 的ROM 中去。HZK16_1 .txt 中的1~4096 个数据放到0 号4K 的ROM 中,4097~8192 个数据放到 1 号4K 的 ROM 中,8193~12288 个数据放到2 号4K 的ROM 中,12289~16384个 数据放到3 号4K 的ROM 中。 c) 注意实际给的16K 数据,倒数第二个4K(8193~12288 个数据)中部分 是0,最后4K(12289~16384 数据)全都是0。因此在ROM 4K_3 号后部分 都是0,ROM 4K_3 中都是0。 2)电路设计 地址线连接

数据存储实验(Logisim平台)-计算机组成原理

广州xx学院 专业班级计算机实验日期2020.6.4 姓名李学号 实验名称数据存储实验指导老师张 (报告内容包括:实验目的、实验设备及器材、实验步骤、实验数据、图表及曲线处理、实验小结等)。

实验名称:数据存储实验 实验场地:计算机仿真 实验设备:Logisim实验平台 1实验目的 1)熟悉和了解存储器组织与总线组成的数据通路。 2)掌握存储部件在计算机组成中的运用。 2 实验要求 1)各类触发器 触发器具有两个稳定的状态,在外加信号的触发下,可以从一个稳态翻转为另一稳态。这一新的状态在触发信号去掉后,仍然保持着,一直保留到下一次触发信号来到为止,这就是触发器的记忆作用,它可以记忆或存储两个信息:“0”或“1”。 如图1所示,常见的触发器有D触发器、T触发器、JK触发器及RS触发器等。 图1 常见触发器状态图 2)寄存器 寄存器的功能是存储二进制代码,它是由具有存储功能的触发器组合起来构成的。一个触发器可以存储1位二进制代码,故存放n位二进制代码的寄存器,需用n个触发器来构成。寄存器是中央处理器内的组成部分。寄存器是有限存储容量的高速存储部件,它们可用来暂存指令、数据和位址。 图2 基本寄存器 图2为基本寄存器的组成原理图。 图3为具有同步清零和异步清零功能寄存器组成原理图。

图3 同步清零和异步清零寄存器 3)计数器 计数器可实现正向和方向计数和控制功能。计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。 图4 基本计数器 图4为基本计数器的组成原理图。 4)移位寄存器 移位寄存器不仅能寄存数据,而且能在时钟信号的作用下使其中的数据依次左移或右移。移位寄存器可以用来寄存代码,还可以用来实现数据的串行—并行转换、数值的运算以及数据的处理等。 图5为基本移位寄存器组成原理图。 图5 基本移位寄存器

西安交通大学计算机组成原理实验报告

西安交通大学 计算机组成原理实验报告 姓名:*** 班级:物联网** 学号:

实验一存储器的访问与实现 一、实验目的 1、理解计算机主存储器的分类及作用; 2、掌握ROM、RAM的读写方法。 二、实验原理 存储器按存取方式分,可分为随机存储器和顺序存储器。如果存储器中的任何存储单元的内容都可随机存取,称为随机存储器,计算机中的主存储器都是随机存储器。如果存储器只能按某种顺序存取,则称为顺序存储器,磁带是顺序存储器,磁盘是半顺序存储器,它们的特点是存储容量大,存取速度慢,一般作为外部存储器使用。如果按存储器的读写功能分,有些存储器的内容是固定不变的,即只能读出不能写入,这种存储器称为只读存储器(ROM);既能读出又能写入的存储器,称为随机读写存储器(RAM)。 实际上真正的ROM基本上不用了,用的是光可擦除可编程的ROM(EPROM)和电可擦除可编程的ROM(EEPROM)。EEPROM用的越来越多,有取代EPROM之势,比如容量很大的闪存(FLASH)现在用的就很广泛,常说的U盘就是用FLASH做的。按信息的可保存性分,存储器可分为非永久性记忆存储器和永久性记忆存储器。ROM、EPROM、EEPROM都是永久记忆存储器,它们断电后存储内容可保存。RAM则是非永久性记忆存储器,断电后存储器中存储的内容丢失。 随机读写存储器类型 随机存储器按其元件的类型来分,有双极存储器和MOS存储器两类。在存取速度和价格两方面,双极存储器比MOS存储器高,故双极存储器主要用于高速的小容量存储体系。在MOS存储器中,根据存储信息机构的原理不同,又分为静态随机存储器(SRAM)和动态随机存储器(DRAM)。静态随机存储器采用双稳态触发器来保存信息,只要不断电,信息就不会丢失;动态随机存储器利用记忆电容来保存信息,使用时只有不断地给电容充电才能使信息保持。静态随机存储器的集成度较低,功耗也较大;动态随机存储器的集成度较高,功耗低。现在计算机中,内存容量较大,常由动态随机存储器构成。 静态随机存储器 静态存储器由存储体、地址译码电路、读写电路和控制电路组成。一个4096×1位的SRAM的结构框图如图6-37所示。图中,A0~A11为地址线,用来寻址存储器中的某一个单元。DIN、DOUT为数据线,实现数据的输入、输出。W//R为读写控制信号线,用来实现读写操作控制。/CS为片选信号。

计算机组成原理实验报告

实验1 通用寄存器实验 一、实验目的 1.熟悉通用寄存器的数据通路。 2.了解通用寄存器的构成和运用. 二、实验要求 掌握通用寄存器R3~R0的读写操作. 三、实验原理 实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。DRCK信号为寄存器组打入脉冲,上升沿有效.准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。 图2—3-3 通用寄存器数据通路 四、实验内容 1.实验连线 连线信号孔接入孔作用有效电平

2.寄存器的读写操作 ①目的通路 当RWR=0时,由DI、OP编码产生目的寄存器地址,详见下表. 通用寄存器“手动/搭接”目的编码 ②通用寄存器的写入 通过“I/O输入输出单元”向R0、R1寄存器分别置数11h、22h,操作步骤如下: 通过“I/O输入输出单元”向R2、R3寄存器分别置数33h、44h,操作步骤如下: ③源通路 当X2~X0=001时,由SI、XP编码产生源寄存器,详见下表. 通用寄存器“手动/搭接”源编码

④ 通用寄存器的读出 关闭写使能,令K18(RWR )=1,按下流程分别读R0、R1、R2、R3。 五、实验心得 通过这个实验让我清晰的了解了通用寄存器的构成以及通用寄存器是如何运用的,并且熟悉了通用寄存器的数据通路,而且还深刻的掌握了通用寄存器R3~R0的读写操作。 实验2 运算器实验 一、实验目的 掌握八位运算器的数据传输格式,验证运算功能发生器及进位控制的组合功能. 二、实验要求 完成算术、逻辑、移位运算实验,熟悉ALU 运算控制位的运用. 三、实验原理 实验中所用的运算器数据通路如图2-3— 1所示。ALU 运算器由CPLD 描述。运算器的输出FUN 经过74LS245三态门与数据总线相连,运算源寄存器A 和暂存器B 的数据输入端分别由2个74LS574锁存器锁存,锁存器的输入端与数据总线相连,准双向I/O 输入输出端口用来给出参与运算的数据,经2片74LS245三态门与数据总线相连。

淮海工学院存储器实验报告

淮海工学院计算机工程学院实验报告书 课程名《计算机组成原理》 题目:存储器实验 班级:软件122 学号:2012122734 姓名:韩莹

一、实验目的 掌握静态随机存取存储器RAM工作特性及数据的读写方法。 二、实验原理 主存储器单元电路主要用于存放实验机的机器指令,如图2.5.1所示,它的数据总线挂在外部数据总线EXD0~EXD7上;它的地址总线由地址寄存器单元电路中的地址寄存器74LS273(U37)给出,地址值由8个LED灯LAD0~LAD7显示,高电平亮,低电平灭;在手动方式下,输入数据由8位数据开关KD0~KD7提供,并经一三态门74LS245(U51)连至外部数据总线EXD0~EXD7,实验时将外部数据总线EXD0~EXD7用8芯排线连到内部数据总线BUSD0~BUSD7,分时给出地址和数据。它的读信号直接接地;它的写信号和片选信号由写入方式确定。该存储器中机器指令的读写分手动和自动两种方式。手动方式下,写信号由W/R` 提供,片选信号由CE`提供;自动方式下,写信号由控制CPU的P1.2提供,片选信号由控制CPU的P1.1提供。 由于地址寄存器为8位,故接入6264的地址为A0~A7,而高4位A8~A12接地,所以其实际使用容量为256字节。6264有四个控制线:CS1 第一片选线、CS2第二片选线、OE读线、WE写线。其功能如表3—4所示。CS1片选线由CE`控制(对应开关CE)、OE读线直接接地、WE写线由W/R`控制(对应开关WE)、CS2直接接+5V。 图中信号线LDAR由开关LDAR提供,手动方式实验时,跳线器LDAR拨在左边,脉冲信号T3由实验机上时序电路模块TS3提供,实验时只需将J22跳线器连上即可,T3的脉冲宽度可调。 三、实验电路 1、实验接线图 ⑴MBUS连BUS2; ⑵EXJ1连BUS3; ⑶跳线器J22的T3连TS3; ⑷跳线器J16的SP连H23; ⑸跳线器SWB、CE、WE、LDAR拨在左边(手动位置)。

计算机组成原理存储器实验报告

计算机组成原理存储器实验报告 一、实验目的 本实验旨在通过实践了解存储器的基本原理和实现方式,掌握存储器的读写操作。 二、实验原理 存储器是计算机中用于存储数据和程序的设备,其按照不同的存取方式可分为随机存储器(RAM)和只读存储器(ROM)。其中RAM是一种易失性存储器,其存储的数据会随着电源关闭而丢失;而ROM则是一种非易失性存储器,其存储的数据在电源关闭后仍能保持不变。 本实验使用的是一个8位RAM,其具有256个存储单元,每个存储单元可以存储8位数据。RAM可以进行读写操作,读操作是将存储单元中的数据读取到CPU中,写操作是将CPU中的数据写入到存储单元中。存储单元的地址是由地址线来控制的,本实验中使用的是8位地址线,因此可以寻址256个存储单元。 三、实验仪器 本实验使用的主要仪器有:存储器板、八位开关、八位数码管、八位LED灯、地址选择开关和地址计数器等。

四、实验过程 1. 准备工作:将存储器板与开发板进行连接,并将八位开关、八位数码管、八位LED灯、地址选择开关和地址计数器等连接到存储器板上。 2. 设置地址:使用地址选择开关来设置需要读写的存储单元的地址。 3. 写操作:将需要存储的数据通过八位开关输入到CPU中,然后将CPU中的数据通过写信号写入到存储单元中。 4. 读操作:将需要读取的存储单元的地址通过地址选择开关设置好,然后通过读信号将存储单元中的数据读取到CPU中。 5. 显示操作:使用八位数码管或八位LED灯来显示读取到的数据或写入的数据。 6. 重复上述操作,进行多次读写操作,观察存储器的读写效果和数据变化情况。 五、实验结果 通过本次实验,我们成功地进行了存储器的读写操作,并观察到了存储器中数据的变化情况。在实验过程中,我们发现存储器的读写速度非常快,可以满足计算机的高速运算需求。同时,存储器的容量也非常大,可以存储大量的数据和程序,为计算机提供了强大的

计算机组成原理RAM实验报告

山东大学软件学院组成原理实验报告(实验)课程名称计算机组织与结构实验报告

学生姓名:学号:指导教师:陈志勇 实验地点:硬件实验室实验时间:2014年12月11日 一、实验项目名称:RAM实验 二、实验目的: 1. 了解半导体静态随机读写存储器RAM的工作原理及其使用方法。2. 掌握半导体存储器的字、位扩展技术。 三、实验内容: RAM实验结构图 INTEL2114静态存储器:

Intel2114RAM 存储器芯片为双列直插式集成电路芯片,共有 18 个引脚,各引脚的功能如下: A 0 -A 9 :10 根地址信号输入引脚。 :读/写控制信号输入引脚,当为低电平时,使输入三态门导通,信 息由数据总线通过输入数据控制电路写入被选中的存储单元;反之从所选中的存储单元读出信息送到数据总线。 I/O 1 ~ I/O 4 : 4 根数据输入/输出信号引脚。 :片选信号,低电平有效,通常接地址译码器的输出端。 第一部分:采用1K x 4 的芯片,构成1K x 8的存储器。

第二部分:采用1K x 4 的芯片,构成2K x 4的存储器。 四、实验要求: ◆采用1K x 4 的芯片,构成1K x 8的存储器。 ◆选择五个不连续的存贮单元地址,分别存入不同内容,作单个存贮器单元的 读/写操作实验。 ◆采用1K x 4 的芯片,构成2K x 4的存储器。 ◆必须使用译码器进行扩展(三输入都用,接开关)。 ◆选择五个不连续的存贮单元地址,分别存入不同内容,作单个存贮器单元的 读/写操作实验。 ◆选用适当芯片,根据各种控制信号的极性和时序要求,设计出实验线路图。 ◆分别设计实验步骤。 ◆使用开关进行数据加载,通过指示灯显示实验结果,记录试验现象,写出实验报 告。给出字扩展试验中每片RAM芯片的地址范围。

存储器实验实验报告

存储器实验实验报告

一、实验目的 练习使用STEP开关 了解地址寄存器(AR)中地址的读入了解STOP和STEP开关的状态设置了解向存储器RAM中存入数据的方法了解从存储器RAM中读出数据的 二、实验设备 1、TDM。叫组成原理实验仪一台 2、导线若十 3、静态存储器:一片6116 (2K*8)芯片 地址锁存器(74LS273) 地址灯AD0 — AD7 三态门(74LS245) 三、实验原理 实验所用的半导体静态存储器电路原理如图所示, 实验中的静态存储器由一片6116 (2K*8)芯片构成,其数据 线接至数据总线,地址线由地址锁存器(74LS273)给出。 地址灯AD(P AD7与地址线相连,显示地址线状况。数据开关 经一个三态门(74LS245)连至数据总线,分时给出地址和数据。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔 中,在时序电路模块中有两个二进制开关“ STOP和“STEP ,将 “STOP开关置为“ RUN状态、“ STEP开关置为“ EXEC状态时,按动微动开关START则TS3端输出连续的方波信号当“ STOP开关置为RUN 犬态,“STEP开关置为“ STEP状态时,每按动一次微动开关“ start ”,则TS3输出一个单脉冲,脉冲宽度与连续方式相同。

四、实验内容 如下图 存储器实验接线图

练习使用STEP开关 往地址寄存器(AR)中存入地址 设置STOP和STEP开关的状态: 从数据开关送地址给总线:SW-B= 打开AR,关闭存储器:LDAR=—、CE= 按下Start产生T3脉冲 关闭AR,关闭数据开关:LDAR=_、SW-B= (二) 往存储器RAM中存入数据 1. 设定好要访问的存储器单元地址 2. 从数据开关送数给总线:SW-B= 3. 选择存储器片选信号:CE=— 4. 选择读或写:WE= 5. 按下Start产生T3脉冲 6. 关闭存储器片选信号:CE=— 7. 关闭数据开关:SW-B=— (三) 从存储器RAM中读出数据 1. 设定好要访问的存储器单元地址 2. 选择存储器片选信号:CE=— 3. 选择读或写:WE= 4. 按下Start产生T3脉冲 5. 关闭存储器片选信号:CE=

计算机组成原理实验报告二半导体存储器原理实验

计算机组成原理实验报告二半导体存储器原理实验.docx文档格式 半导体存储器原理实验 一、实验目的: 1、掌握静态存储器的工作特性及使用方法。 2、掌握半导体随机存储器如何存储和读取数据。 二、实验要求:按练习一和练习二的要求完成相应的操作,并填写表 2.1各控制端的状态及记录表 2.2的写入和读出操作过程。 三、实验方案及步骤:丄、按实验连线图接线,检查正确与否,无误后接通电源。 2、根据存储器的读写原理,按表 2.1的要求,将各控制端的状态填入相应的栏中以方便实验的进行。 3、根据实验指导书里面的例子练习,然后按要求做练习 一、练习二的实验并记录相关实验结果。 4、比较实验结果和理论值是否一致,如果不一致,就分析原因,然后重做。 四、实验结果与数据处理:(1)表 2.1各控制端的状态控制信号写地址写内容读内容SW-B001LDAR100CE100WE_10练习操作数据1:(AA)=(10101010)216写入操作过程 1)写地址操作:应设置输入数据的开关状态:将试验仪左下方“INPUTDEVICE”中的8位数据开关D7-D0设置为00000000即可。应设

门控制端,即SW-B=0,打开地址寄存器存数控制信号,即LDAR=1,于片选信号(CE),写命令信号(WE)任意,即CE= 1.WE=0或1。应与T3脉冲配合可将总线上的数据作为地址输入AR 地址寄存器中:按下微动开关START即可。应于AR地址寄存器的存数控制信号:LDAR=0o2)写内容操作:应设置输入数据的开关状态:将试验仪左下方“INPUTDEVICE”中的8位数据开关D7-D0设置为10101010o应设置有关控制端的开关状态:在实验仪“SWITCHUNIT”中打开输入三态门控制端,即SW-B=0,于地址寄存器存数控制信号,即LDAR=0,打开片选信号(CE)和写命令信号(WE),即CE=0,WE=lc 应与T3脉冲配合可将总线上的数据写入存储器6116的00000000地址单元中:再按下微动开关START即可。应于片选信号和写命令信号:即CE=15VE=0。读出操作过程 1)写地址操作:参考写入操作的写地址操作2)读内容操作:于输入三态门控制端,即SW-B=1地址寄存器存数控制信号(LDAR)任意,不过最好于,即LDAR=0.防止误按脉冲信号存入数据。于写命令信号(WE),即WE=0,打开片选信号(CE),BPCE=O,不需要T3脉冲,即不要按微动开关START此时00000000地址的内容通过“BUSUNIT”中数据显示灯E7-B0显示出来。 216数据2:(55)二(01010101)写入操作过程 1)写地址操作:设置输入数据的开关状态:将试验仪左下方“INPUTDEVICE”中的8位数据开关D7-D0设置为000000012设置有关

计算机组成原理实验报告

1. 寄存器 五、实验总结 按照实验要求进行连接和操作,对通用寄存器组进行了数据的写入和读出,两组数据完全对照,得到了预期效果,说明了存入数据的正确性,在整个过程中也对寄存器组的构成和硬件电路有了更深层次的理解。 2. 运算器 五、实验总结 基本熟悉了整个实验系统的基本结构,了解了该实验装置按功能分成几大区,学会何时操作各种开关、按键。最重要的是通过实验掌握了运算器工作原理,熟悉了算术/逻辑运算的运算过程以及控制这种运算的方法,了解了进位对算术与逻辑运算结果的影响,对时序是如何起作用的没太弄清楚,相信随着后续实验的进行一定会搞清楚的 3。存储器 五、实验总结 按照实验要求连接器材设备元件,按照给定步骤进行实验操作.通过向静态RAM中写入数据并读出数据,在INPUT单元输入数并存入地址寄存器,再向相应的地址单元存入数,验证读出数据时,只需再INPUT单元输入想要读出单元的地址,再通过片选端CE读出存储单元内的数据,其中We=0是控制写端,WE=1控制读,CE低电平有效。实验过程遇到一些问题,对实验内容不是很熟,有待提高。

4. CPU与简单模型机设计实验 一、实验目的 (1) 掌握一个简单CPU的组成原理. (2)在掌握部件单元电路的基础上,进一步将其构造一台基本模型计算机。 (3)为其定义五条机器指令,编写相应的微程序,并上机调试掌握整机概念. 二、实验设备 PC机一台,TD—CMA实验系统一套。 三、实验原理 本实验要实现一个简单的CPU,并且在此CPU的基础上,继续构建一个简单的模型计算机。CPU 由运算器(ALU)、微程序控制器(MC)、通用寄存器(R0),指令寄存器(IR)、程序计数器(PC)和地址寄存器(AR)组成,如图5-1—1 所示。这个CPU 在写入相应的微指令后,就具备了执行机器指令的功能,但是机器指令一般存放在主存当中,CPU 必须和主存挂接后,才有实际的意义,所以还需要在该CPU的基础上增加一个主存和基本的输入输出部件,以构成一个简单的模型计算机。 除了程序计数器(PC),其余部件在前面的实验中都已用到,在此不再讨论。系统的程序计数器(PC)和地址寄存器(AR)集成在一片CPLD 芯片中。CLR 连接至CON 单元的总清端CLR,按下CLR 按钮,将使PC 清零,LDPC 和T3 相与后作为计数器的计数时钟,当LOAD 为低时,计数时钟到来后将CPU内总线上的数据打入PC。

计算机组成原理与汇编实验报告

计算机组成原理与汇编实 验报告 姓名: 学号: 学院:信息科学与工程学院

班级: 实验1 存储器实验 实验目的 ⏹掌握静态存储随机存储器RAM的工作特性 ⏹掌握静态存储随机存储器RAM的读写方法 实验设备 74LS273(一片),静态存储器MEMORY 6116(一片),与门(一片),与非门(一片),单脉冲(一片),开关若干,灯泡若干 实验原理 在微机系统中,常用的静态RAM 有6116、6264、62256 等。在本实验中使用的是6116。6116 为2K╳8 位的静态RAM,其逻辑图3.1如下: 图3.1 6116逻辑图

其中A0~10 为11 根地址线,I/O0~7 为8 根数据线,CS 为片选端,OE 为数据输出选通端,WR 为写信号端。其工作方式见下表3-1: 表3-1工作方式表 实验所用的半导体静态存储器电路原理如图3.2 所示,实验中的静态存储器一片6116(2K×8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。地址灯AD0—AD7 与地址线相连,显示地址线内容。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

图3.2 存储器实验原理图 因地址寄存器为8 位,接入6116 的地址A7—A0,而高三位A8—A10 接地,所以其实际容量为256 字节。6116 有三个控制线:CE(片选线)、OE(读线)、WE(写线)。当片选有效(CE=0)时,OE=0时进行读操作,WE=0 时进行写操作。本实验中将OE 常接地,在此情况下,当CE=0、WE=0 时进行 读操作,CE=0、WE=1 时进行写操作,其写时间与T3 脉冲宽度一致。控制信 号SW-B 为低电平有效,控制信号LDAR 为高电平有效。 实验步骤

计算机组成原理实验报告_寄存器的原理及操作

初:未知当前:2016-7-3 主笔:Angel 课程名称:计算机组成原理 实验项目:寄存器的原理及操作 姓名: 专业:计算机科学与技术 班级: 学号: 计算机科学与技术学院 实验教学中心 20 16 年 6 月20 日

初:未知当前:2016-7-3 主笔:Angel 版本:1 实验项目名称:寄存器的原理及操作 一、实验目的 1. 了解模型机中A, W寄存器结构、工作原理及其控制方法。 2. 了解模型机中寄存器组R0..R3结构、工作原理及其控制方法。 3. 了解模型机中地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT寄存器结构、工作原理及其 控制方法。 二、实验内容 1、A、W寄存器:利用COP2000实验仪上的K16..K23开关做为DBUS的数据,其它开关做为控制 信号,将数据写入寄存器A,W。 2、R0、R1、R2、R3寄存器实验:利用COP2000实验仪上的K16..K23开关做为DBUS的数据,其 它开关做为控制信号,对数据寄存器组R0..R3进行读写。 3、MAR、ST、OUT寄存器:利用COP2000实验仪上的K16..K23开关做为DBUS的数据,其它开 关做为控制信号,将数据写入地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。 三、实验用设备仪器及材料 伟福 COP2000系列计算机组成原理实验系统 四、实验原理及接线 实验1:A,W 寄存器实验

实验2:R0,R1,R2,R3寄存器实验 实验3:MAR地址寄存器,ST 堆栈寄存器,OUT输出寄存器

MAR为存储器地址寄存器,其功能是存储操作数在内存中的地址, 信号MAREN的功能是将数据总线DBUS上数据MAR,信号MAROE的功能是将MAR的值送到地址总线ABUS上 ST堆栈寄存器的作用,是出现中断或子程序调用时,保存断点处PC的值,以便中断或子程序结束时,能继续执行原程序。图中,信号STEN的作用是将数据总线DBUS上数据存入堆栈寄存器ST中

计算机组成原理堆栈寄存器实验报告

计算机组成原理实验三 堆栈寄存器实验 一、实验目的: 1、熟悉堆栈概念。 2、熟悉堆栈寄存器的组成和硬件电路。 二、实验要求: 完成3个堆栈寄存器的数据写入与读出。 三、实验原理: 实验中所用的堆栈寄存器数据通路由三片8位字长的LS374组成R0、R1、R2寄存器堆。三个寄存器的输入/输出已连至BUS总线R0-B、R1-B、R2-B经CBA二进制控制开关译码产生数据输出选通信号(详见下表),LDR0、LDR1、LDR2为数据写入允许信号,由

二进制控制开关来模拟,均为高电平有效;T4信号为寄存器数据写入脉冲,上升沿有效。在手动实验状态(即“H”装态)每按动一次“单步”命令键,产生一个T4信号。 下表为寄存器单元选通真值表: 四、实验连接: 1.连接实验线路,把位于实验装置左上方的CTR-OUT UNIT (LDR0、LDR1、LDR2、/SW-B)与实验装置右中央的CTR-OUT unit (LDR0、LDR1、LDR2)及实验装置左下方INPUT-UNIT(/SW-B)中的控制信号作对应连接。 2.具体连接信号:/SW-B,/R0-B,/R1-B ,/R2-B ,LDR0,LDR1,LDR2 五、实验仪器状态设定: 在闪动的“P.”状态下按动“增址”命令键,使LED显示器自左向右第一位显示提示符“H”,表示本装置已进入手动单元实验状态。 五、实验项目: (一)堆栈寄存器的写入

拨动二进制数据开关(INPUT-UNIT)向R0和R1寄存器置数(置数灯亮表示它所对应的数据位为“1”、反之为“0”)。具体操作步骤图示如下: [CBA=001] [LDR0=1] [LDR=1] [LDR1=0] [LDR1=1] [LDR2=0] [LDR2=0] [按“STEP”] [按“STEP”] (二)堆栈寄存器的读出 关闭数据输入三态门(CBA=000),分别打开通用寄存器R0、R1、 R2输出控制位,当CBA=100时,总线指示灯(BUS-DISP UNIT) 显示R0中的数据01H;当CBA=101时,总线指示灯显示R1中 的数据80H;当CBA=110时,总线指示灯显示R2中等的数据(随机),可以观察到,显示的随机数为11111111. (六)实验总结 通过本次实验的数据和理论分析进行比较、验证,我们熟悉了堆栈概念,熟悉了堆栈寄存器的组成和硬件电路等。

计算机组成原理实验报告-寄存器实验

千里之行,始于足下。 计算机组成原理实验报告-寄存器实验计算机组成原理实验报告-寄存器实验》 一、实验目的 本次实验旨在通过设计和实现一个基本的寄存器,加深对计算机组成原理 中寄存器的理解,并掌握寄存器在计算机中的应用。 二、实验设备及软件 1. 实验设备:计算机 2. 实验软件:模拟器软件Mars 3. 实验材料:电路图、线缆、元器件 三、实验原理 寄存器是计算机的一种重要组成部分,用于存储数据和指令。一个基本的 寄存器通常由一组触发器组成,可以存储多个位的信息。本实验中,我们需要 设计一个16位的寄存器。 四、实验步骤 1. 确定寄存器的结构和位数:根据实验要求,我们需要设计一个16位的 寄存器。根据设计要求,选择合适的触发器和其他元器件。 2. 组装寄存器电路:根据电路图,将选择好的元器件按照电路图连接起来。 3. 连接电路与计算机:使用线缆将寄存器电路连接到计算机的相应接口上。 4. 编写程序:打开Mars模拟器软件,编写程序来测试寄存器的功能。可 以编写一段简单的程序,将数据写入寄存器并读取出来,以验证寄存器的正确性。 5. 运行程序并测试:将编写好的程序加载到Mars模拟器中,并运行程序,观察寄存器的输出和模拟器的运行结果。 第1页/共3页

锲而不舍,金石可镂。 五、实验结果 在本次实验中,我们成功设计和实现了一个16位的寄存器,并进行了相关测试。经过多次测试,寄存器的功能和性能良好,能够准确地存储和读取数据。 六、实验心得 通过本次实验,我对寄存器的结构和工作原理有了更深入的了解。寄存器 作为计算机的一种重要组成部分,起着存储和传输数据的作用。通过实际操作 和测试,我更加清楚了寄存器在计算机中的应用和重要性。 在实验过程中,我遇到了一些问题,如电路连接不稳定、程序错误等,但 通过仔细检查和调试,最终解决了这些问题。这次实验也让我深刻体会到了学 习计算机组成原理的重要性,只有深入理解原理并通过实践运用,才能真正掌 握计算机的工作原理和能力。 通过这个实验,我有了更深入的认识和理解,对计算机组成原理的学习也 更加系统和完整。我将继续努力学习和研究,提高自己的理论知识和实践能力,为今后更深入的学习和研究打下坚实的基础。 七、实验总结 通过本次寄存器实验,我不仅对寄存器的结构和原理有了更深入的了解, 还巩固了对计算机组成原理的学习。通过设计和实现一个基本的寄存器,我更 加清楚地认识到寄存器在计算机中的重要性。 在实验过程中,我遇到了一些困难和问题,但通过仔细分析和思考,我最 终找到了解决问题的方法。这次实验让我学会了如何将理论知识应用到实践中,提高了我的实践能力和创新精神。

计算机组成原理实验报告-西北农林科技大学

西北农林科技大学 信息工程学院 计算机组成原理实习报告 班级信息管理与信息系统 年级 11级2班 姓名刘佳 学号 2011013316

实验一基础汇编语言程序设计 实验目的 1.学习和了解TEC-XP教学实验系统监控命令的用法; 2.学习和了解TEC-XP教学实验系统的指令系统; 3.学习简单的TEC-XP教学实验系统汇编程序设计。 实验内容 1.学习联机使用TEC-XP教学实验系统和仿真终端软件PCEC。 2.使用监控程序R命令显示/修改寄存器内容、D命令显示存储器内容、E命令修改存储器内容; 3.使用A命令写一小段汇编程序,U命令反汇编刚输入的程序,用G命令连续运行该程序,用T、P命令单步运行并观察程序单步执行情况。 实验步骤 1.用R命令查看寄存器内容或修改寄存器的内容 1)在命令行提示符状态下输入: R↙;显示寄存器的内容 注:寄存器的内容在运行程序或执行命令后会发生变化。 2)在命令行提示符状态下输入: R R0↙;修改寄存器R0的内容,被修改的寄存器与所赋值之间可 以无空格,也可有—个或数个空格 主机显示: 寄存器原值:_ 在后面输入新的值0036 再用R命令显示寄存器内容,则R0的内容变为0036。

2.用D命令显示存储器内容 在命令行提示符状态下输入: D 2000↙ 会显示从2000H地址开始的连续128个字的内容; 连续使用不带参数的D命令,起始地址会自动加128(即80H)。 3.用E命令修改存储器内容 在命令行提示符状态下输入: E 2000↙ 屏幕显示: 2000 地址单元的原有内容:光标在此闪烁等待输入 输入0000 依次改变地址单元2001~2005的内容为:1111 2222 3333 4444 5555 注意:用E命令连续修改内存单元的值时,每修改完—个,按一下空格键,系统会自动给出下一个内存单元的值,等待修改;按回车键则退出E命令。

相关文档
最新文档