数字电路题库

一、填空题

1、与非门的逻辑功能为。

2、数字信号的特点是在上和上都是断续变化的,其高电平和低电

平常用和来表示。

3、三态门的“三态”指,和。

4、逻辑代数的三个重要规则是、、。

5、为了实现高的频率稳定度,常采用振荡器;单稳态

触发器受到外触发时进入态

6、同步RS触发器中R、S为电平有效,基本R、S触发器中R、S为

电平有效

7、7

、在进行A/D转换时,常按下面四个步骤进行,、、、_______。

8、计数器按增减趋势分有、和计数器。

9、TTL与非门输入级由组成。两个OC门输出端直接接在一

起称为。

10、在TTL与非门,异或门,集电级开路门,三态门中,为实现线与逻辑功

能应选用,要有推拉式输出级,又要能驱动总线应选用

门。

11、一个触发器可以存放位二进制数。

12、优先编码器的编码输出为码,如编码输出A2A1A0=011,可

知对输入的进行编码。

13、逻辑函数的四种表示方法是、、、。

14、移位寄存器的移位方式有,

和。

15、同步RS触发器中,R,S为电平有效,基本RS触发器中R,

S为电平有效。

16、常见的脉冲产生电路有

17、触发器有个稳态,存储8位二进制信息要个

触发器。

18、在一个C P脉冲作用下,引起触发器两次或多次翻转的现

象称为触发器的,触发方式为式或

式的触发器不会出现这种现象。

19、常见的脉冲产生电路有,常见的脉冲整

形电路有、。

20、数字否常可分为两

类:、。

21、T T L与非门电压传输特性曲线分为区、区、

区、区。

22、寄存器按照功能不同可分为两类:寄存器和

寄存器。

23、逻辑代数的三个重要规是、、。

24、逻辑函数F=AB

B

A

B

A

B

A+

+

+=

25、常用的BCD码有、、、等。常用的

可靠性代码有、等。

26、逻辑函数的四种表示方法是、、、。

27、TTL与非的V

OFF 称为,V

ON

称为

28、触发器有两个互补的输出端Q、Q,定义触发器的1状态

为,0状态为,可见触发器的状态指的是端的状态。

29、一个触发器可以记忆位二进制代码,四个触发器可以记忆

位二进制代码。

30、主从JK触发器的特性方程。

31、施密特触发器是将变为矩形波输出。

32、DAC是将的电路。

33、分析数字电路的主要工具是,数字电路又称作。

34、逻辑代数的三个重要规则

是、、。

35、T T L与非门电压传输特性曲线分为区、区、

区和区。

36、常见的脉冲产生电路有,常见的脉冲整

形电路有、。

37、时序逻辑电路按照其触发器是否有统一的时钟控制分为

时序电路和时序电路。

38、为了实现高的频率稳定度,常采用振荡器;单稳

态触发器受到外触发时进入态。

39、对于共阳接法的发光二极管数码显示器,应采用

电平驱动的七段显示译码器。

40、在进行A/D转换时,常按下面四个步骤进

行,、、、

41、三态门具有、、三种状态。

42、施密特触发器和单稳态触发器是一种脉冲电路,多谐振荡器是

一种脉冲电路。

43、 TTL 或非门多于输入端的处理是 。

44、 逻辑函数的四种表示方法是 、 、 、 。

45、 数字信号的特点是在 上和 上都是断续变化的,其高电平和低

电平常用 和 来表示。

46、 触发器有 个稳态,存储8位二进制信息要 个触

发器。

47、 半导体数码显示器的内部接法有两种形式:共 接法

和共 接法。

48、 数字电路按照是否有记忆功能通常可分为两

类: 、 。

49、 逻辑代数中3种基本运算是 , , 。

50、 逻辑代数中三个基本运算规

则 , , 。

51、 逻辑函数的化简有 , 两种方法。

52、 A+B+C= 。

53、 TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,

与非门 ,输出 。

54、 组合逻辑电路没有 功能。

55、 竞争冒险的判断方法 , 。

56、 触发器它有 稳态。主从RS 触发器的特性方

程 ,

57、 主从JK 触发器的特性方程 ,D 触发器的特性

方程 。

58、 数字电路中,常用的计数进制 , , , 。

59、 逻辑代数函数常用的4种表示方法 , , ,

______。

60、 逻辑函数的最简与或式的标准

是 , 。

61、 ABC = 。

62、 具有推拉输出结构TTL 门电路的输出端不允许直接 。

63、 对于与非门闲置输入端可直接与 连接。

64、 触发器具有 功能,常用来保存 信息。

65、 触发器的逻辑功能可以用 、 、 、 、

来描述。

66、 施密特触发器主要是将变化缓慢的信号变换成 脉冲。

67、 常用的可靠性代码有_________、____________。

68、 化简逻辑函数的方法有_________、_________。

69、 三态输出门能输出_______、_________、_________。

70、 555定时器的最基本应用有_________、__________、__________。

71、 计数器按进制不同分为________、_________、___________。

72、 组合逻辑电路由各种 组成;而时序逻辑电路由

和 组成,且 必不可少,它主要由 组成。

73、 DAC 是将 转换为 。

74、 完成数制转换 (101011111)2=( )16=( )8421BCD ,

(3B)16=( )10=( )8421BCD

75、 三种基本的逻辑运算关系是( )、( )、( )。

76、 Z=AB+AC 的对偶式为( )。

77、 晶体三极管有三种工作状态:( )、( )、

( ),在数字电路中三极管一般作为开关元件使用,即工作在

( )和( )

78、 存储8位二进制信息,要( )个触发器。

79、 JK 触发器特征方程为 。

80、 逻辑函数的表达形式主要有 , , ,

四种。

81、 完成下列的数制转换

(1)、(255)10=( )2=( )16=( )8421BCD

(2)、(3FF )16=( )2=( )10=( )8421BCD

82、 使用或非门做反相器使用其他输入端应接 电平、异或门做反相器使

用其他输入端应接 电平。

83、 数字电路中,三极管通常工作在 和 状态。

84、 常用的组合逻辑电路有 、 、 、 、 、 等。

85、 在译码器、寄存器、全加器三者中,不是组合逻辑电路的是 。

86、 对16个输入信号进行编码,至少需要 位二进制数码。

87、 时序逻辑电路由 和 两大部分组

成,常用的表示方法

有 、 、 、 。

88、 3位二进制计数器,最多能构成模值为 的计数器。

89、 十进制计数器最高位输出的频率是输入CP 脉冲频率的 倍。

90、 A/D 转化过程有 、 、 、 四个步骤。

91、 若系统要求DAC 的分辨率优于0.025%,则至少需要 位的D/A

转换器。

92、 半导体存储器分为 和 两类。

93、 一个16384个存储单元的ROM ,每个字8位,它有 个字,

有条数据县和条地址线。

94、数字信号只有和两种取值。

95、十进制123的二进制数是;八进制数是;十六

进制数是。

96、设同或门的输入信号为A和B,输出函数为F。若令B=0,则F=

若令B=1,则F=

97、三态门的输出有、、三种状态。

98、设JK触发器的起始状态Q=1若令J=1,K=0,则=

+1

n

Q。

若令J=1,K=1,则=

-1

n

Q。

99、BCD七段翻译码器输入的是位码,输出有个。100、一个N进制计数器也可以称为分频器。

101、有一个6位D/A转换器,设满度输出为6.3V,输入数字量为110111,则输出模拟电压为。

102、设ROM容量为256字×8位,则它应设置地址线条,输出线条。

103、用256字×4位RAM,扩展容量为1024字×8位RAM,则需要片。

104、按逻辑功能的不同特点,数字电路可分为和两大类。

105、在逻辑电路中,三极管通常工作在和状态。

106、(406)

10=()

8421BCD

107、一位数值比较器的逻辑功能是对输入的数据进行比较,它有、、三个输出端。

108、TTL集成JK触发器正常工作时,其d R和d S端应接电平。109、单稳态触发器有两个工作状态和,其中是暂时的。

110、一般ADC的转换过程由、、和4个步骤来完成。

111、存储器的存储容量是指。某一存储器的地址线为A

14~A

数据线为D

3~D

,其存储容量是。

112、逻辑函数的表达形式主要有、、、四种。

113、一般A/D转换过程要经过、、、四个步骤。

114、存储器EPROM2764芯片的存储容量是KB,有根数据线和根地址线。

115、D/A转换器位数越多,其分辨率越。

116、半导体存储器分为和两类。

117、根据逻辑功能的不同特点,数字电路可分为和两大类。它们的主要区别

是:。

118、一个8选1的数据选择器有个数据输入端,个地址输入端。

二、选择题

1、有八个触发器的二进制计数器,它们最多有()种计数状态。

A、8;

B、16;

C、256;

D、64

2、下列触发器中上升沿触发的是()。

A、主从RS触发器;

B、JK触发器;

C、T触发器;

D、D触发器

3、下式中与非门表达式为(),或门表达式为()。

A、Y=A+B;

B、Y=AB;

C、Y=B

A ;D、Y=AB

4、十二进制加法计数器需要()个触发器构成。

A、8;

B、16;

C、4;

D、3

5、逻辑电路如右图,函数式为()。

A、F=AB+;

B、F=AB+C;

C、F=C

AB ;D、F=A+BC

6、逻辑函数F=AB+BC的最小项表达式为()

A、F=m

2+m

3

+m

6

B、F=m

2

+m

3

+m

7

C、F=m

3+m

6

+m

7

D、F=m

3

+m

4

+m

7

7、74LS138译码器有(),74LS148编码器有()

A、三个输入端,三个输出端;

B、八个输入端,八个输出端;

C、三个输入端,八个输出端;

D、八个输入端,三个输出端。

8、单稳态触发器的输出状态有()

A、一个稳态、一个暂态

B、两个稳态

C、只有一个稳态

D、没有稳态

1、对于MOS门电路,多余端不允许()

A、悬空

B、与有用端并联

C、接电源

D、接低电平

2、右图①表示()电路,

②图表示()电路

A、与门B、或门

C、非门D、与非门

3、卡诺图③、④表示的逻辑函数最简式分别为()和()

A、F=B+D

B、F=B+D

C、F=BD+B D

D、F=BD+BD

4、逻辑电路如图⑤,函数式为

()

A、F=AB+

B、F=A B+C

C、F=AB+C

D、F=A+B C

5、一位8421B C D码计数器至少需要个触发器。B

A.3

B.4

C.5

D.10

6、下列逻辑函数表达式中与F=A B +A B 功能相同的是( )A

A 、

B A ⊕ B 、B A ⊕

C 、B A ⊕

D 、B A ⊕

7、施密特触发器常用于( )

A 、脉冲整形与变换

B 、定时、延时

C 、计数

D 、寄存

8、施密特触发器的输出状态有

A 、一个稳态、一个暂态

B 、两个稳态

C 、只有一个稳态

D 、没有稳态

1、对于MOS 门电路,多余端不允许

A 、悬空

B 、与有用端并联

C 、接电源

D 、接低电平

2、一个8选1多路选择器,输入地址有 ,16选1多路选择器输入地址有 。

A 、2位

B 、3位

C 、4位

D 、8位

3. 同步计数器和异步计数器比较,同步计数器的显著优点是 。A

A.工作速度高

B.触发器利用率高

C.电路简单

D.不受时钟C P 控制。

4. 把一个五进制计数器与一个四进制计数器串联可得到 进制计数器。D

A.4

B.5

C.9

D.20

5. 下列逻辑电路中为时序逻辑电路的是 。

A.变量译码器

B.加法器

C.数码寄存器

D.数据选择器

6、下列逻辑函数表达式中与F=A B +A B 功能相同的是

A 、

B A ⊕ B 、B A ⊕

C 、B A ⊕

D 、B A ⊕

7、施密特触发器常用于

A 、脉冲整形与变换

B 、定时、延时

C 、计数

D 、寄存

8、单稳态触发器的输出状态有

A 、一个稳态、一个暂态

B 、两个稳态

C 、只有一个稳态

D 、没有稳态

9.一位8421B C D 码计数器至少需要 个触发器。B

A.3

B.4

C.5

D.10

1.下面各图中输出为高电平的是 . 。

2.在何种输入情况下,“与非”运算的结果是逻辑0。

A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1

3. 逻辑函数F=)(B A A ⊕⊕= 。

A.B

B.A

C.B A ⊕

D. B A ⊕

4. 为实现将J K 触发器转换为D 触发器,应使 。

A.J =D ,K=D

B. K =D ,J =D

C.J =K =D

D.J =K =D

5. 边沿式D 触发器是一种 稳态电路。

A.无

B.单

C.双

D.多

6. 多谐振荡器可产生 。

A.正弦波

B.矩形脉冲

C.三角波

D.锯齿波

7. 八路数据分配器,其地址输入端有 个。

A.1

B.2

C.3

D.4

8. 8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。

A.1

B.2

C.4

D.8

9、一位8421B C D 码计数器至少需要 个触发器。

A.3

B.4

C.5

D.10

10、一个16选1多路选择器输入地址有

A 、2位

B 、3位

C 、4位

D 、8位

1. 当逻辑函数有n 个变量时,共有 个变量取值组合?

A. n

B. 2n

C. n 2

D. 2n

2.逻辑函数F=)(B A A ⊕⊕= 。

A.B

B.A

C.B A ⊕

D. B A ⊕

3. 一位八进制数可以用( )位二进制数来表示。

A . 2

B . 3

C . 4

D . 16

4. 以下电路中常用于总线应用的有 。

A.T S L 门

B.O C 门

C. 漏极开路门

D.CM O S 与非门

5.对于T T L 与非门闲置输入端的处理,下列说法错误的是 。

A.接电源

B.通过电阻3k Ω接电源

C.接地

D.与有用输入端并联

6.对于D 触发器,欲使Q n +1=Q n ,应使输入D = 。

A.0

B.1

C.Q

D.Q

7. N 个触发器可以构成能寄存 位二进制数码的寄存器。

A.N -1

B.N

C.N +1

D.2N 8.石英晶体多谐振荡器的突出优点是 。

A.速度高

B.电路简单

C.振荡频率稳定

D.输出波形边沿陡峭

9. 若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。

A.5

B.6

C.10

D.50

10. 在下列逻辑电路中,不是组合逻辑电路的有 。

A.译码器

B.编码器

C.全加器

D.寄存器

1.在555定时器组成的三种电路中,能自动产生周期为T=0.7(R 1+2R 2)C 的脉冲信号的电路是( )。

A 、多谐振荡器;

B 、单稳态触发器;

C 、施密特触发器;

D 、双稳态触发器

2.有八个触发器的二进制计数器,它们最多有( )种计数状态。

A 、8;

B 、16;

C 、256;

D 、64

3.在数字电路中,晶体管的工作状态为:( )

A 、饱和;

B 、放大;

C 、饱和或放大;

D 、饱和或截止

4.下列逻辑代数运算错误的是:( )

A 、A+A=A ;

B 、A ∙A =1;

C 、A ∙A= A ;

D 、A+A =1

5.以下各电路中,属于组合逻辑电路的是:( )

A 、定时器;

B 、译码器;

C 、寄存器;

D 、计数器

6. 十二进制加法计数器需要( )个触发器构成。

A 、8;

B 、16;

C 、4;

D 、3

7.下列函数中等于A 的是:( )

A 、A+1;

B 、A (A+B );

C 、A+A B ;

D 、A+A

8. 逻辑函数Y=AB+A C+BC+BCDE 化简结果为:( )

A 、Y=AB+A C+BC ;

B 、Y=AB+A

C ; C 、Y=AB+BC ;

D 、Y=A+B+C

9.一位十六进制数可以用( )位二进制数来表示。

A . 1

B . 2

C . 4

D . 16

10.十进制数25用8421BCD 码表示为( )。

A .10 101

B .0010 0101

C .100101

D .10101

1、相同为“0”不同为“1”它的逻辑关系是 ( )

A 、或逻辑

B 、与逻辑

C 、异或逻辑

2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 (

A 、Y=AB+BC+ABC

B 、Y=A+B

C 、Y=A

3、

A 、Y=A

B B 、Y 处于悬浮状态

C 、Y=B A +

4、下列图中的逻辑关系正确的是 ( )

A.Y=B A +

B.Y=B A +

C.Y=AB

5、下列说法正确的是 ( )

A 、主从JK 触发器没有空翻现象

B 、JK 之间有约束

C 、主从JK 触发器的特性方程是CP 上升沿有效。

6、下列说法正确的是 ( )

A 、同步触发器没有空翻现象

B 、同步触发器能用于组成计数器、移位寄存器。

C 、同步触发器不能用于组成计数器、移位寄存器。

7、下列说法是正确的是 ( )

A 、异步计数器的计数脉冲只加到部分触发器上

B 、异步计数器的计数脉冲同时加到所有触发器上

C 、异步计数器不需要计数脉冲的控制

8、下列说法是正确的是 ( )

A 、施密特触发器的回差电压ΔU=U T+-U T-

B、施密特触发器的回差电压越大,电路的抗干扰能力越弱

C、施密特触发器的回差电压越小,电路的抗干扰能力越强

9、下列说法正确的是()

A、多谐振荡器有两个稳态

B、多谐振荡器有一个稳态和一个暂稳态

C、多谐振荡器有两个暂稳态

10、下列说法正确的是()

A、555定时器在工作时清零端应接高电平

B、555定时器在工作时清零端应接低电平

C、555定时器没有清零端

1、相同为“1”不同为“0”它的逻辑关系是()

A、或逻辑

B、与逻辑

C、同或逻辑

2、Y (A,B,C,)=∑m(0,1,4,5)逻辑函数的化简式()

A、Y=AB+BC+ABC

B、Y=A+B

C、Y=B

3、

A、Y=AB

B、Y处于悬浮状态

C、Y=B

A+

4、下列图中的逻辑关系正确的是()

A

.Y=B

A+ B.Y= ABC C.Y=AB

5、用n位二进制代码对2n个信号进行编码的电路是()

A、二—十进制编码器

B、二进制译码器

C、二进制编码器

6、同步时序逻辑电路中,所有触发器的时钟脉冲是()

A、在同一个时钟脉冲的控制下

B、后一个触发器时钟脉冲是前一个触发器输出提供的。

C、时钟脉冲只加到部分触发器上。

7、利用异步置数法获得N进制计数器时()

A、应在输入第N个计数脉冲后,使计数器返回到初始的预置数状态

B、应在输入第N+1个计数脉冲后,使计数器返回到初始的预置数状态

C 、应在输入第N-1个计数脉冲后,使计数器返回到初始的预置数状态

8、有6个触发器的二进制计数器,它们最多有( )种计数状态。

A 、8

B 、16

C 、64

9、施密特触发器主要是将变化缓慢的信号变换成( )

A 、尖脉冲

B 、正弦波

C 、矩形波

10、4位权电阻网络D/A 转换器,V REF =-8V ,R F =R ,当输入1001时,输出

电压值是( )

A 、1/9

B 、9

C 、9/2

1、多谐振荡器( )

1)有两个稳定状态

2)一个稳定状态,一个暂稳态。

3)无稳定状态。

2、三极管可靠截止的条件是( )

1)U BE ≤0 2)U B E >0 3)U BE =0、7V

3、同或运算的逻辑式是( )

1)Y=A ⊕B 2)Y=B A ⊕ 3)Y=A ⊗B

4、余3BCD 码是( )

1)恒权码 2)无权码 3)以上二者都不是。

5、用卡诺图化简逻辑函数时,8个相邻最小项合并,可以消去( )个变

量。

1)1 2)2 3)3

6、D 触发器的逻辑功能有( )

1)置0、置1 2)置0、置1 、保持 3)置0、置1 、保持、计数

7、重叠律的基本公式是( )

1)A+A=2A 2)A+A=A 3)A 〃A=A 2

8、由四个触发器构成十进制计数器,其无效状态有( )

1) 四个 2)五个 3)六个

9、通常,具有同样功能的TTL 电路比CMOS 电路工作速度( )

1)高 2)低 3)差不多

10、在不影响逻辑功能的情况下,CMOS 或非门的多余端可( )

1)接高电平 2)悬空 3)接低电平

1、有八个触发器的二进制计数器,它们最多有()种计数状态。

A、8;

B、16;

C、256;

D、64

2、下列触发器中上升沿触发的是()。

A、主从RS触发器;

B、JK触发器;

C、T触发器;

D、D触发器

3、下式中与非门表达式为(),或门表达式为()。

A、Y=A+B;

B、Y=AB;

C、Y=B

A+;D、Y=AB

4、十二进制加法计数器需要()个触发器构成。

A、8;

B、16;

C、4;

D、3

5、逻辑电路如右图,函数式为()。

A、F=AB+C;

B、F=AB+C;

C、F=C

AB+;D、F=A+BC

6、逻辑函数F=AB+BC的最小项表达式为()

A、F=m

2+m

3

+m

6

B、F=m

2

+m

3

+m

7

C、F=m

3+m

6

+m

7

D、F=m

3

+m

4

+m

7

7、74LS138译码器有(),74LS148编码器有()

A、三个输入端,三个输出端;

B、八个输入端,八个输出端;

C、三个输入端,八个输出端;

D、八个输入端,三个输出端。

8、单稳态触发器的输出状态有()

A、一个稳态、一个暂态

B、两个稳态

C、只有一个稳态

D、没有稳态

1.下列各式中的四变量A、B、C、D的最小项是:。

(A)ABCD (B)AB(C+D) (C)A+B+C+D(D)A+B+C+D

2.Y=A B C D C

+++的反函数为。

(A)Y=()

A B C D C

+⋅⋅⋅(B)Y=()

A B C D C

+⋅⋅⋅

(C)Y=()

A B C D C

+⋅⋅⋅(D)Y=()

A B C D C

+⋅⋅⋅

3.四个逻辑变量的取值组合共有。

(A)8 (B)16 (C)4 (D)15

4.已知逻辑函数F(A,B)=AB+AB,是函数值为1的A,B取值组合是:。

(A)00,11 (B)01,00 (C)01,10 (D)01,11

5.2048×8位RAM芯片,其数据线的个数是:。

(A)11 (B)8 (C)14 (D)211

1. 离散的,不连续的信号,称为( )

A 、模拟信号

B 、数字信号

2. 组合逻辑电路通常由( )组合而成。

A 、门电路

B 、触发器

C 、计数器

3. 十六路数据选择器的地址输入(选择控制)端有( )个

A 、16

B 、2

C 、4

D 、8

4. 一位8421BCD 码译码器的数据输入线与译码输出线的组合是( )

A 、4:6

B 、1:10

C 、4:10

D 、2:4

5. 能实现脉冲延时的电路是( )

A 、多谐振荡器

B 、单稳态触发器

C 、施密特触发器

6.8线—3线优先编码器的输入为70I I ,当优先级别最高的7I 有效时,其输出012Y Y Y 的值是( )

A 、111

B 、010

C 、000

D 、101

7.JK 触发器在CP 作用下,若状态必须发生翻转,则应使( )

A 、J=K=0

B 、J=K=1

C 、J=O ,K=1

8.有一个左移位寄存器,当预先置入1011后,其串行固定接0,在4个移位

脉冲CP 作用下,四位数据的移位过程是( )

A 、1011—0110—1100—1000—0000

B 、1011—0101—0010—

0001—0000

9.有一位二进制数码需要暂时存放起来,应选用( )

A 、触发器

B 、2选1数据选择器

C 、全加器

10.EPROM 是指( )

A 、随机读写存储器

B 、可编程逻辑阵列

可编程只读存储器 D 、可擦除可编程只读存储器

1.离散的,不连续的信号,称为( )。

A .模拟信号 B.数字信号

2.组合逻辑电路通常由( )组合而成。

A .门电路 B.触发器 C.计数器

3.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输

出012Y Y Y ∙∙的值是( )。

A .111 B.010 C.000 D.101

4.十六路数据选择器的地址输入(选择控制)端有( )个。

A .16 B.2 C.4 D.8

5.一位8421BCD 码译码器的数据输入线与译码输出线的组合是( )。

A .4:6 B.1:10 C.4:10 D.2:4

6.常用的数字万用表中的A/D 转换器是( )。

A .逐次逼近型ADC B.双积分ADC C.并联比较型ADC

7.ROM 属于( )。

A .组合逻辑电路 B.时序逻辑电路

8.有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4

个移位脉冲CP 作用下,四位数据的移位过程是( )。

A.1011--0110--1100--1000—0000

B.1011--0101--0010--0001—0000

1、若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。

A.5

B.6

C.10

D.50

2、在下列逻辑电路中,不是组合逻辑电路的有 。

A.译码器

B.编码器

C.全加器

D.寄存器

3、多谐振荡器可产生 。

A.正弦波

B.矩形脉冲

C.三角波

D.锯齿波

4、以下电路中可以实现“线与”功能的有 。

A. T T L 与非门

B.三态输出门

C.O C 门

5、一个 4 位移位寄存器可以构成最长计数器的长度是 。

A.8

B.12

C.15

D.16

6、满足特征方程n n Q Q =+1 的触发器称为 。

A 、D 触发器

B 、JK 触发器

C 、T /触发器

三、判断题

1、逻辑变量的取值,1比0大。()

2、对于MOS门电路多余端可以悬空。()

3、计数器的模是指对输入的计数脉冲的个数。()

4、JK触发器的输入端J 悬空,则相当于 J = 0。()

5、时序电路的输出状态仅与此刻输入变量有关。()

6、RS触发器的输出状态Q

N+1与原输出状态Q

N

无关。()

7、JK触发器的J=K=1 变成T 触发器。()

8、各种功能触发器之间可以相互转换。()

9、优先编码只对优先级别高的信息进行编码。()

10、组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。()

1、对于JK触发器J=K=1时,输出翻转。()

2、一个存储单元可存1位2进制数。()

3、同一CP控制各触发器的计数器称为异步计数器。()

4、对MOS门电路多余端不可以悬空。()

5、函数式F=ABC+AB C+A B C= (3、5、

6、7)()

6、JK触发器的输入端J悬空,相当于J=1。()

7、时序电路的输出状态仅与此刻输入变量有关。()

8、一个触发器能存放一位二进制数。()

9、计数器随CP到来计数增加的称加计数器。()

10、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()

1、逻辑变量的取值,1比0大。()

2、一个存储单元可存1位2进制数。()

3、若两个函数具有不同的真值表,则两个逻辑函数必然不相等。()

4、对MOS门电路多余端不可以悬空。()

5、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()

6、JK触发器的输入端J悬空,相当于J=1。()

7、时序电路的输出状态仅与此刻输入变量有关。()

8、三态门的三种状态分别为:高电平、低电平、不高不低的电压。()

9、与非门的逻辑功能是:有0出1,全1出0。()

10、施密特触发器能作为幅值鉴别器。()

1. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()

2.格雷码具有任何相邻码只有一位码元不同的特性。()

3. 若两个函数具有相同的真值表,则两个逻辑函数必然相等。()

4. 三态门的三种状态分别为:高电平、低电平、不高不低的电压。()

5. 一般TTL门电路的输出端可以直接相连,实现线与。()

6. D触发器的特性方程为Q n+1=D,与Q n无关,所以它没有记忆功

()7. 同步触发器存在空翻现象,而边沿触发器和主从触发器克服了空翻。()8. 单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。()

9. 优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。()

10. 编码与译码是互逆的过程。()

1. 格雷码具有任何相邻码只有一位码元不同的特性。

()

2.逻辑变量的取值,1比0大。

()

3.异或函数与同或函数在逻辑上互为反函数。()4. R S触发器的约束条件RS=0表示不允许出现R=S=1的输入。

5.石英晶体多谐振荡器的振荡频率与电路中的R、C成正比。()

6. 数据选择器和数据分配器的功能正好相反,互为逆过程。()

7.时序电路不含有记忆功能的器件。()

8. 计数器的模是指对输入的计数脉冲的个数。()

9.利用反馈归零法获得N进制计数器时,若为异步置零方式,则

状态S N只是短暂的过渡状态,不能稳定而是立刻变为0状态。()

10. 组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。()

1. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()

2. Y=A B C的反函数是A+B+C。()

3.用二进制代码表示某一信息称为编码,反之,把二进制代码所表示的信息翻译出来称为译码。()

4.五变量的逻辑函数有32个最小项。()

5.D/A转换器是由采样保持、量化编码及部分构成。()

6. 同步触发器存在空翻现象,而边沿触发器和主从触发器克服

了空翻。()7. 多谐振荡器的输出信号的周期与阻容元件的参数成正比。

()

8.编码与译码是互逆的过程。()

9. 异步时序电路的各级触发器类型不同。()

10.计数器的模是指构成计数器的触发器的个数。()

1、A+AB=A+B ()

2、当输入9个信号时,需要3位的二进制代码输出。()

3、单稳态触发器它有一个稳态和一个暂稳态。()

4、施密特触发器有两个稳态。()

5、多谐振荡器有两个稳态。()

6、D/A转换器是将模拟量转换成数字量。()

7、A/D转换器是将数字量转换成模拟量。()

8、主从JK触发器在CP=1期间,存在一次性变化。()

9、主从RS触发器在CP=1期间,R、S之间不存在约束。()

10、所有的触发器都存在空翻现象。()

1、A+1=A ()

2、当输入19个信号时,需要4位的二进制代码输出。()

3、单稳态触发器输出脉冲宽度取决于R、C的值。()

4、调节施密特触发器回差电压的大小,可以改变电路的抗干扰能力。()

5、在同步时序逻辑电路中如果由于某种原因而进入无效状态时,只要继续输入CP脉冲,电路便会自动回到有效状态,该电路不能够自启动。()

6、4位权电阻网络D/A转换器,V

REF =-8V,R

F

=R,当输入0011时,输出电

压值是3V。()

7、D/A转换器分辨率=1/(2n-1)。()

8、设计100进制的计数器,至少需要5个主从JK触发器。()

9、主从RS触发器在CP=1期间,R、S之间存在约束。()

10、主从JK触发器的触发器存在空翻现象。()

1、单稳态触发器可用于延时。( )

2、A-D转换器的位数越多,分辨率越高。()

3、集电极开路门可实现线与。( ).

4、三变量逻辑函数的最小项最多有6个。( )

5、移位寄存器不能存放数码,只能对数据进行移位操作。()

6、施密特触发器常用于脉冲整形与变换。()

7、同一CP控制各触发器的计数器称为异步计数器。()

8、构成一个五进制计数器最少需要5个触发器。()

9、1个触发器可以存放1位二进制数。()

10、CMOS门电路的输入端悬空时相当于接逻辑1。()

1、逻辑变量的取值,1比0大。()

2、对于MOS门电路多余端可以悬空。()

3、计数器的模是指对输入的计数脉冲的个数。()

4、JK触发器的输入端J 悬空,则相当于 J = 0。()

5、时序电路的输出状态仅与此刻输入变量有关。()

6、RS触发器的输出状态Q

N+1与原输出状态Q

N

无关。()

7、JK触发器的J=K=1 变成T 触发器。()

8、各种功能触发器之间可以相互转换。()

9、优先编码只对优先级别高的信息进行编码。()

10、组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。()

(完整版)数字电路试题及参考答案

《数字电路》试卷及答案 一、【单项选择题】(本大题共20小题,每小题2分,共40分)在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在答题卷相应题号处。 1、对于钟控RS触发器,若要求其输出“0”状态不变,则输入的RS信号应为( A )。 [A] RS=X0 [B] RS=0X [C] RS=X1 [D] RS=1X 2、以下各电路中,( B )可以产生脉冲定时。 [A] 多谐振荡器[B] 单稳态触发器 [C] 施密特触发器[D] 石英晶体多谐振荡器 3、下列逻辑电路中为时序逻辑电路的是( C )。 [A] 变量译码器[B] 加法器[C] 数码寄存器[D] 数据选择器 4、同步时序电路和异步时序电路比较,其差异在于后者( B )。 [A] 没有触发器[B] 没有统一的时钟脉冲控制 [C] 没有稳定状态[D] 输出只与内部状态有关 5、当用专用输出结构的PAL设计时序逻辑电路时,必须还要具备有( A )。 [A] 触发器[B] 晶体管[C] MOS管[D] 电容 6、能将输出端直接相接完成线与的电路有( C )。 [A] TTL与门[B] 或门[C] 三态门[D] 三极管非门 7、TTL与非门的多余脚悬空等效于( A )。 [A] 1 [B] 0 [C] Vcc [D] Vee 8、以下哪一条不是消除竟争冒险的措施( B )。 [A] 接入滤波电路[B] 利用触发器[C] 加入选通脉冲[D] 修改逻辑设计 9、主从触发器的触发方式是( D )。 [A] CP=1 [B] CP上升沿[C] CP下降沿[D] 分两次处理 10、组合型PLA是由( A )构成。 [A] 与门阵列和或门阵列[B] 一个计数器 [C] 一个或阵列[D] 一个寄存器 11、下列四个数中,最大的数是( B )。 [A] (AF)16[B] (001010000010)8421BCD [C] (10100000)2[D] (198)10 12、触发器有两个稳态,存储8位二进制信息要( B )个触发器。 [A] 2 [B] 8 [C] 16 [D] 32 13、下列门电路属于双极型的是( A )。 [A] OC门[B] PMOS [C] NMOS [D] CMOS 14、用异步I/O输出结构的PAL设计逻辑电路,它们相当于( A )。 [A] 组合逻辑电路[B] 时序逻辑电路 [C] 存储器[D] 数模转换器

(完整版)数字电路基础考试题(附参考答案)

数字电子技术-考试复习题 一、单项选择题 1.(195)H 表示( D )。 (a) 二进制数 (b) 十进制数 (c) 八进制数 (d) 十六进制数 2.在TTL 门电路中,能实现“线与”的门电路是( B ) (a) 与非门 (b) 集电极开路门 (c) 或非门 (d) 或非门 3.用不同数制的数字来表示2007,位数 最少的是 。 (a) 十六进制数 (b) 十进制数 (c) 八进制数 (d) 二进制数 4.十进制数36转换为十六进制数,结果为 。 (a )26 (b )24 (c )22 (d )20 5.8421BCD 码10000111表示的十进制数是 。 (a ) 131 (b ) 103 (c ) 87 (d ) 13 6.A/D 转换输出的二进制代码位数越多,其转换精度( ) (a) 越高 (b) 越低 (c) 不变 (d) 无法确定 7.下列逻辑表示式正确的是( ) (a) 1=++B A B A (b) B A B A A +=+ (c)B A B A B A AB +=+ (d) B A AB += 8. 下列电路中,属于时序逻辑电路的是( ). (a) 数据选择器 (b) 编码器 (c) 计数器 (d) 译码器 9. 由8位寄存器组成的扭环移位寄存器 可以构成 进制计数器。 (a) 4 (b) 8 (c) 16 (d) 无法确定 10. 555集成定时器构成的单稳态触发器,其暂态时间t W ≈________。 (a) 0.7RC (b) RC (c) 1.1RC (d) 1.4RC 11.十进制数24转换为二进制数,结果 为 。 (a )10100 (b )10010 (c )01100 (d )11000 12. (a) 13. (c) 14. (c) 15. (d) 12.= O )275( ( )D , 。 (a )275 (b) 629 (c) 2750 (d) 2200 13.三态门的第三态是 。 (a )低电平 (b )高电平 (c ) 高阻 (d ) 任意电平 14.具有8个触发器的二进制异步计数器最多可能有 种状态。 (a ) 8 (b ) 128 (c ) 256 (d ) 512 15.“或非”逻辑运算结果为“0”的条件是该或项的变量 。 (a ) 全部输入“0” (b ) 全部输入“1” (c ) 任一个输入“0” (d ) 任一个输入“1” 16.当TTL 门电路输入端对地接电阻 R =10k Ω时,相当于此端 。 (a) 接逻辑“1” (b) 接逻辑“0” (c) 接0.4V 电压 (d) 逻辑不定 17.若干个三态逻辑门的输出端连接在一 起,能实现的逻辑功能是 。 (a) 线与 (b) 无法确定 (c) 数据驱动 (d) 分时传送数据 18.一个3输入表决电路,只有3个输入 都为0,输出才为1,则该电路的逻辑关系 是 。 (a) 与 (b) 或 (c) 或 非 (d) 与非 19.如要将一个最大幅度为5.1V 的模拟信号转换为数字信号,要求输入每变化20mV , 输出信号的最低位(LSB)发生变化,应选用 位ADC 。

(完整版)数字电路试题汇总

逻辑门电路(158) 一、填空题3。1 4.3。1。 1。与门是反向逻辑门. (× ) 2.或非门是反向逻辑门. (√ ) 3.当一个逻辑门被禁止时,它被激活,允许将一个信号传到输出端.(× ) 4.当一个二输入与门被一个输入端的逻辑0信号禁止时,它的另一个输入端将成 为无关输入。( √ ) 5.逻辑门对与门而言是一个 禁止信号 6.逻辑门对与门而言是一个 使能信号 7.对于一个二输入或非门而言,如果A =0,B =1,则输出电平应该是输入 逻辑0 8.数字电路中的三极管在( )区只是一种过渡状态。 放大区 9。影响二极管开关速度的主要因素是由于( )时间的存在。 P N 结内部结电容 10.正逻辑或门可以是负逻辑( )门电路. 与 11。在数字电路中,晶体三极管工作在( )状态。 开关 12。正逻辑的约定是高电平为0,低电平为1 。 (× ) 13.用双极性三极管组成开关电路其饱和工作状态的条件是( A )。 A 。β/I I CS B > B.V V BE 6.0= C. I I C B < D 。 I I B ceo ≤ 14.在数字电路中,高电平代表逻辑“1”状态,低电平代表逻辑“0"状态。 (×) 15.与条件语句中至少需要( )个条件。2 16.或条件语句中至少需要( )个条件。2 17.4输入与门有()种可能的输入状态组合。16 18.对于5输入与门,其真值表有()行.32 19.与门使用矩形符号表示时,其标志符是()。& 20.对于4输入或门而言,有()种可能的输入状态组合.16 21.5输入或门的真值表有()列。5

22.或门使用矩形符号表示时,其标志符是()。≥1 23.非门有()个输入.1 24.非门使用矩形符号表示时,其标志符是().1 25.与逻辑门相关的两种错误是()。开路或短路 26.I C中常见的内部错误是()。开路 27。如果向与非门输入数字波形,则什么情况下输出为低电平? 答案:当所有输入都是高电平时,与非门输出为低电平。 28.对于5输入的与非门,有()种可能的输入状态组合。32 29.对于4输入与非门,其真值表有()列。5 30。对于8输入与非门,所有可能的输入状态组合有()组输入状态能够输出低电平.一组 31.什么情况下或非门的输出为逻辑低电平? 答案:只要有一个或多个输入为高电平,则或非门输出为低电平32。对于4输入的或非门,有()种可能的输入状态组合。16 33。对于5输入与或非门,其真值表有()行。32 34.如果向或非门输入数字波形,则什么情况下输出为低电平? 答案:任有一个输入波形为高电平时,或非门输出为低电平。 35.或门和非门应该如何连接才能搭建出或非门? 答案:把或非门的输出端接到非门的输入端 36。如何正确连接未使用的与非门输入? 答案:通过上拉电阻将未使用的与非门输入接到V C C. 37.如何正确连接未使用的或非门输入? 答案:将未使用的或非门输入接到地。 38.什么是“上拉"电阻? 答案:上拉电阻是将某点与V C C连接起来的电阻,它使得该点为高电平。 39。I C门中较常见的是()。开路 40.T T L或非门和或门相比,两者开路输入导致的输出不同。(√) 41.与非门输入短路到地时,会对其输出产生何种影响? 答案与非门输入短路到地时,输出总是高电平。 42.或非门输入短路到地时,会对其输出产生何种影响? 答案:输出取决于其它输入。 43.或非门输入直接连接到V CC时,会对其输出产生何种影响? 答案:输出总是低电平. 44.满足()时,与非门输出为低电平。所有输入都是高电平 45.满足(D)时,或非门输出为低电平。 A.一个输入为高电平 B.所有输入都是低电平

数字电路基础试题及答案

数字电路基础试题及答案 一、单选题 1.下列关于数字电路和模拟电路的叙述中,正确的是 A、处理数字信号的电路称为模拟电路 B、处理模拟信号的电路称为数字电路 C、数字电路和模拟电路的分析方法相同 D、数字电路中工作的三极管不是工作在饱和区,就是截止区【正确答案】:D 2.二进制数11010转换为十进制数为 A、32 B、21 C、26 D、33 【正确答案】:C https://www.360docs.net/doc/2219373293.html,4011是 A、四2输入或非门 B、四2输入与非门 C、四2输入与门 D、四2输入或门 【正确答案】:B https://www.360docs.net/doc/2219373293.html,4001是

A、四2输入或非门 B、四2输入与非门 C、四2输入与门 D、四2输入或门 【正确答案】:A 5.逻辑功能为“有0出1,有1出0”的门电路是 A、与门 B、或门 C、非门 D、与或门 【正确答案】:C 6.TTL集成门电路的输入端需通过( )与正电源短接。 A、电阻 B、电容 C、电感 D、负电源 【正确答案】:A https://www.360docs.net/doc/2219373293.html,4001和CC4011都是采用的14引脚( )封装双列直插式。 A、塑 B、金 C、纸 D、硅胶

【正确答案】:A 8.在数字信号中,高电平用逻辑1表示,低电平用逻辑0表示,称为 A、正逻辑 B、1逻辑 C、负逻辑 D、0逻辑 【正确答案】:A 9.十进制数码18用8421BCD码表示为 A、10010 B、100010 C、00011000 D、01110111 【正确答案】:C 10.已知逻辑函数,与其相等的函数为 A、 B、 C、 D、 【正确答案】:D 11.8421BCD码0001 0100表示的十进制数码为 A、12 B、14

数字电路题库

一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电 平常用和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态 触发器受到外触发时进入态 6、同步RS触发器中R、S为电平有效,基本R、S触发器中R、S为 电平有效 7、7 、在进行A/D转换时,常按下面四个步骤进行,、、、_______。 8、计数器按增减趋势分有、和计数器。 9、TTL与非门输入级由组成。两个OC门输出端直接接在一 起称为。 10、在TTL与非门,异或门,集电级开路门,三态门中,为实现线与逻辑功 能应选用,要有推拉式输出级,又要能驱动总线应选用 门。 11、一个触发器可以存放位二进制数。 12、优先编码器的编码输出为码,如编码输出A2A1A0=011,可 知对输入的进行编码。 13、逻辑函数的四种表示方法是、、、。 14、移位寄存器的移位方式有, 和。 15、同步RS触发器中,R,S为电平有效,基本RS触发器中R, S为电平有效。 16、常见的脉冲产生电路有 17、触发器有个稳态,存储8位二进制信息要个 触发器。 18、在一个C P脉冲作用下,引起触发器两次或多次翻转的现 象称为触发器的,触发方式为式或 式的触发器不会出现这种现象。 19、常见的脉冲产生电路有,常见的脉冲整 形电路有、。 20、数字否常可分为两 类:、。

21、T T L与非门电压传输特性曲线分为区、区、 区、区。 22、寄存器按照功能不同可分为两类:寄存器和 寄存器。 23、逻辑代数的三个重要规是、、。 24、逻辑函数F=AB B A B A B A+ + += 25、常用的BCD码有、、、等。常用的 可靠性代码有、等。 26、逻辑函数的四种表示方法是、、、。 27、TTL与非的V OFF 称为,V ON 称为 28、触发器有两个互补的输出端Q、Q,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 29、一个触发器可以记忆位二进制代码,四个触发器可以记忆 位二进制代码。 30、主从JK触发器的特性方程。 31、施密特触发器是将变为矩形波输出。 32、DAC是将的电路。 33、分析数字电路的主要工具是,数字电路又称作。 34、逻辑代数的三个重要规则 是、、。 35、T T L与非门电压传输特性曲线分为区、区、 区和区。 36、常见的脉冲产生电路有,常见的脉冲整 形电路有、。 37、时序逻辑电路按照其触发器是否有统一的时钟控制分为 时序电路和时序电路。 38、为了实现高的频率稳定度,常采用振荡器;单稳 态触发器受到外触发时进入态。 39、对于共阳接法的发光二极管数码显示器,应采用 电平驱动的七段显示译码器。 40、在进行A/D转换时,常按下面四个步骤进 行,、、、 41、三态门具有、、三种状态。 42、施密特触发器和单稳态触发器是一种脉冲电路,多谐振荡器是 一种脉冲电路。

数字电路检测题

一、填空题 1、(153)10=(10011001 )2。 2、(123)10=( 1111011 )2。 3、(103)10=( 1100111 )2。 4、(100001100001)8421BCD =( 861 )10。 5、(01011001)8421BCD =( 59 )10。 6、(28)10=( )8421BCD 。 7、(69)10=( )8421BCD 。 8、(1996)10=( )8421BCD 。 9、(101010)2=( 42 )10。 10、(111000)2=( 56 )10。 11、8421BCD 码各位的权分别是 8421 。 12、四位二进制数码可以编成 16 个代码,用这此代码表示0~9十进制数的十个数码(字),必须去掉 6 个代码。 二、选择题 1. 三位二进制数码可以表示的状态有( c ) a. 4种; b. 8种; c. 16种。 2. 对于灯亮而言,总开关和台灯上开关的逻辑关系为( a ) a. 与逻辑; b. 或逻辑; c. 非逻辑。 3. 信号的周期T 与频率f 的关系是( c )。 a. f T π2= b. T=f π21 c. T=f 1 4. 信号的角频率ω与频率f 的关系是( b )。 a. f ω21= b. f πω2= c. f 1=ω 5. 十进制数25转换为二进制数为( d )。 a. 110001 b. 10111 c. 10011 d. 11001 6. 十进制数77转化为8421BCD 码为( c )。 a. 1100001 b.1110111 c. 01110111 d.1001101 7. BCD 代码为(100011000100)表示的数为(594)10,则该BCD 代码为( c )。 a. 8421BCD 码 b.余3BCD 码 c. 5421BCD 码 d. 2421BCD 码 8. BCD 代码10000001表示的数为(121)8,则该BCD 代码为( a )。 a.8421BCD 码 b.余3BCD 码 c. 5421BCD 码 d. 2421BCD 码 三、判断题 1. BCD 码即8421码。 ( × ) 2. 八位二进制数可以表示256种不同状态。 ( √ ) 3. 二进制编码只能有于表示数字。 ( × ) 4. 逻辑电路的输出变量与其输入变量之间为一定的逻辑关系。 ( √ ) 5. 逻辑变量的取值可以是任意种。 ( × ) 6. 十进制数只能用8421BCD 码表示。 ( × ) 7. 任何一个十进制整数都可以用一个任意进制的数来表示。 ( √ ) 8. 四位二进制数码有16种组合,其中任意10种组合均可以对应表示十进制数中的十个数码(字)。 ( √ ) 9. 在数字电路中,数码是通过电路或元件的状态来表示的。 ( √ ) 10. 二进制数1001和二进制代码1001都表示十进制数9。 ( × )

数字电路与逻辑设计试题与答案

数字电路与逻辑设计(1) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++⋅+=的反函数为( )。 A. E )]E D (C C [A F ⋅++= B. E )E D (C C A F ⋅++= C. E )E D C C A (F ⋅++= D. E )(D A F ⋅++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”, 并在划线处改正。每题2分,共10分) 1.原码和补码均可实现将减法运算转化为加法运算。 ( )

数字电路——考试题库及答案

数字电路——考试题库及答案 题目:将边沿变化缓慢的脉冲变成边沿陡峭的脉冲,可使用。 单稳态触发器 微分电路 多谐振荡器 施密特触发器 答案:施密特触发器 题目:n 个变量的最小项是____。 n个变量的和项,它包含全部n 个变量,每个变量可用原变量或非变量 n 个变量的积项,它包含全部n个变量,每个变量仅为原变量 n个变量的积项,它包含全部n个变量,每个变量可用原变量或非变量 n个变量的和项,它包含全部n个变量,每个变量仅为非变量 答案:n个变量的积项,它包含全部n个变量,每个变量可用原变量或非变量 题目:同步时序电路和异步时序电路比较,其差异在于后者____。

没有触发器 输出只与内部状态有关 没有稳定状态 没有统一的时钟脉冲控制 答案:没有统一的时钟脉冲控制 题目:555定时器的驱动电流可达。 20A 200μA 20 mA 200mA 答案:200mA 题目:下列哪类触发器有空翻转现象: 同步R-S触发器 维持阻塞D触发器 边沿J-K触发器 主从J-K触发器 答案:同步R-S触发器

题目:采用四位比较器(74LS85)对两个四位数比较时,先比较: 次低位 最高位 次高位 最低位 答案:最高位 题目:若输入变量A、B全为1时,输出F=0,则其输入与输出的关系是:____。 非 与非 或 与 答案:与非 题目:摩尔型时序电路的输出,选择正确答案: ____。 仅与电路内部状态有关 既与外输入也与内部状态有关 与外输人和内部状态都无关 仅与当前外输入有关

答案:仅与电路内部状态有关 题目:集电极开路门(OC门)在使用时须在之间接一电阻。 输出与地 不接电阻 输出与输入 输出与电源 答案:输出与电源 题目:一位8421BCD码计数器至少需要____个触发器。 4 3 10 5 答案:4 题目:下列哪类触发器有一次翻转问题: 维持阻塞D触发器

数字电路习题库

数字电路习题库 1.单元习题库及答案 第一章(选择、判断共20题)一、选择题 1.以下代码中为无权码的为。 a.8421bcd码 b.5421bcd码 c.余三码 d.格雷码2.以下代码中为恒权码的为。 a.8421bcd码 b.5421bcd码 c.余三码 d.格雷码3.一位十六进制数可以用位二进制数 去则表示。a.1b.2c.4d.164.十进制数25用8421bcd码则表示为。 a.10101 b.00100101 c.100101 d.101015.在一个8位的存储单元中,能够存储的最大 无符号整数是。a.(256)10b.(127)10c.(ff)16d.(255)106.与十进制数(53.5)10等值的数或代码为。 a.(01010011.0101)8421bcd b.(35.8)16 c.(110101.1)2 d.(65.4)87.矩形脉冲信号的 参数存有。 a.周期 b.占空比 c.脉宽 d.扫描期8.与八进制数(47.3)8等值的数为: a.(100111.011)7.3) 2b.(27.6)16c.(216d.(100111.11)29.常用的bcd码有。 a.奇偶校验码 b.格雷码 c.8421码 d.余三码 10.与模拟电路相比,数字电路主要的优点有。 a.难设计 b.通用性弱 c.保密性不好 d.抗干扰能力弱 二、判断题(正确打√,错误的打×)1.方波的占空比为0.5。()2.8421码1001 比0001大。() 3.数字电路中用“1”和“0”分别则表示两种状态,二者并无大小之分后。()4.格 雷码具备任何相连码只有一位码元相同的特性。()5.八进制数(18)8比十进制数(18)10大。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。(7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。() 8.充电电流的公式为:q=tw/t,则周期t越大充电电流q越大。()9.十进制数(9)10比十六进制数(9)16大。()

数字电路试题及答案

数字电路试题及答案 二、单项选择题(本大题共10小题,每小题2分,共20分) 1、十六进制数(8F)16对应的十进制数是( C ) A、141 B、142 C、143 D、144 2、逻辑函数L(A,B,C)=(A+B)(B+C)(A+C)的最简与或表达式为( D) A、(A+C)B+AC B、 AB+(B+A)C C、 A(B+C)+BC D、 AB+BC+AC 3、与非门输出为低电平时,需满足( D ) A、只要有一个输入端为低电平 B、只要有一个输入端为高电平 C、所有输入端都是低电平 D、所有输入端都是高电平 4、能够实现“线与”功能的门电路是( D ) A、与非门B、或非门 C、三态输出门D、集电极开路门 5、由与非门构成的基本RS触发器,要使Qn+1=Qn,则输入信号应为(A)A、R=S=1B、R=S=0 C、R=1,S=0D、R=0,S=1 6、要使T触发器Qn+1=Qn ,则(B) A、T=QnB、T=0C、T=1D、T=n 7、对于JK触发器,要使Q n+1=Q n,则(B) A、J=K=1 B、J=K=0 C、J=1,K=0 D、J=0,K=1 8、为实现D触发器转换成T触发器,题图所示的虚线框内应是。( C ) A、与非门 B、异或门 C、同或门 D、或非门 9、十六个数据输入端的数据选择器必有地址输入端的个数为( D) A、1 B、2 C、3 D、4 10、一个4位二进制计数器的最大模数是( C ) A、4 B、8 C、16 D、32

三、简答题(本大题共2小题,每小题5分,共10分) 1、数字电路从整体上看可分为几大类? 答:(1)、按集成度分,有小、中、大、超大、甚大规模;(3分) (2)、按结构工艺分,有TTL、CMOS集成电路。(2分) 2、最简与-或表达式的标准是什么? 答:(1)、包含的与项最少;(3分) (2)、每个与项中变量的个数最少。(2分) 四、分析计算题(本大题共6小题,每小题10分,共60分) 1、逻辑电路的输入变量A、B和输出函数F的波形如题3-1图所示,试列出真值表,写出逻辑函数F的逻辑表达式,并画逻辑图。 解:由波形图列出真值表(3分) 由真值表写出逻辑表达式: F=A+ B =A B (3分) 由逻辑表达式画逻辑图。 (4分) 2、用卡诺图化简下列逻辑函数: F(A,B,C,D)=Σm(0,3,4,7,11)+Σd (8,9,12,13,14,15)

(完整版)数字电路试题及答案

1) “0”的补码只有一种形式。 (V ) 2) 卡诺图中,两个相邻的最小项至少有一个变量互反。 (V ) 3) 用或非门可以实现3种基本的逻辑运算。 (V ) 4) 三极管饱和越深,关断时间越短。 (X ) 5) 在数字电路中,逻辑功能相同的TTL 门和CMOS 门芯片可以互相替代使用 (X ) 6) 多个三态门电路的输出可以直接并接,实现逻辑与。 (X ) 7) 时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。 (V ) 8) 采用奇偶校验电路可以发现代码传送过程中的所有错误。 (X ) 9) 时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻 辑功能,它们之间可以相互转换。 (V ) 10) 一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励 函数时对无效状态的处理。 (V ) 二•选择题(从下列各题的备选答案中选出 1个或多个正确答案,将其填在括 号中。共10分 1. 不能将减法运算转换为加法运算。 (A ) A .原码 B .反码 C .补码 2. ________________________________ 小数“0”的反码可以写为 。 ______________________________________ (AD ) A . 0.0…0 B . 1.0…0 C . 0.1 …1 D . 1.1 …1 3. ______________________________________ 逻辑函数F=A B 和G=A O B 满足关系 ______________________________________ 。 (ABD ) A . F=G B . F '= G C . F '= G D . F = G 1 为 ________ 。 (B ) A . J = K = 0 B . J = K = 1 C . J = 1,K = 0 D . J = 0,K = 1 5.设计一个同步10进制计数器,需要 _________ 触发器。 (B ) A . 3 个 B . 4 个 C . 5 个 D . 10 个 求两者的关系。(10分) 解:两函数相等,刀(0,3, 4,7,11,12) 4.要使JK 触发器在时钟脉冲作用下,实现输出 Q n 1 Q n ,则输入端信号应 三 .两逻辑函数F 1 (AB AC D BCD)BC CD ABCD ,F 2 AB DC D ,

数字电路试题及答案

数字电路试题 一、单项选择题 1、以下代码中为无权码的为 〔 〕 A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D .2421BCD 码 2、图示逻辑电路的逻辑式为 〔 〕 A .F=C B A ++ B .F= C B A ++ C .F=C B A D .F=ABC 3、以下关于异或运算的式子中,不正确的选项是 〔 〕 A .0A A =⊕ B . 1A A =⊕ C .A 0A =⊕ D .A 1A =⊕ 4、一个n 变量的逻辑函数应该有 个最小项 〔 〕 A .n B .n 2 C .n 2 D .2 n 5、假设编码器中有50个编码对象,则要求输出二进制代码位数为 位。 〔 〕 A .5 B .6 C .10 D .50 6、在以下逻辑电路中,不是组合逻辑电路的是 。 〔 〕 A .译码器 B .编码器 C .全加器 D .寄存器 7、欲使JK 触发器按01 =+n Q 工作,可使JK 触发器的输入端 。 〔 〕 A .1==K J B .Q J =,Q K = C .Q J =,Q K = D .0=J ,1=K 8、同步时序电路和异步时序电路比较,其差异在于两者 。 〔 〕 A .没有触发器 B .是否有统一的时钟脉冲控制 C .没有稳定状态 D .输出只与内部状态有关 9、8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。 〔 〕 A .1 B .2 C .4 D .8 10、555定时器D R 端不用时,应当 。 〔 〕 A .接高电平 B .接低电平 C .通过F μ01.0的电容接地 D .通过小于Ω500的电阻接地 二、填空题 1、当传送十进制数5时,在8421奇校验码的校验位上值应为 。 2、()10=〔 〕2=〔 〕8=〔 〕16 3、用反演律求函数D A D C ABC F ++=的反函数〔不用化简〕=F 。 4、消除竟争冒险的方法有 、 、 等。 5、触发器有 个稳态,存储8位二进制信息要 个触发器。 1 & A B C F 11

数字电路试题五套(含答案)

《数字电子技术》试卷一 一、 填空(每空1分,共25分) 1、(10110)2=( )10=( )16 (28)10=( )2=( )16 (56)10=( )8421BCD 2、最基本的门电路是: 、 、 。 3、有N 个变量组成的最小项有 个。 4、基本RS 触发器的特征方程为_______ ,约束条件是 __. 5、若存储器的容量是256×4RAM ,该RAM 有 ___存储单元,有 字,字长 _____位,地址线 根。 6、用N 位移位寄存器构成的扭环形计数器的模是________. 7、若令JK 触发器的J=K=T 则构成的触发器为_______. 8、如图所示,Y= 。 9、如图所示逻辑电路的输出Y= 。 10、已知Y=D AC BC B A ++,则Y = ,Y/= 。 11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的输出不仅仅取决于此刻的_______;还与电路 有关。

二、化简(每小题5分,共20分) 1、公式法化简 ++++ (1)Y=ABC ABC BC BC A =+++ (2)Y ABC A B C 2、用卡诺图法化简下列逻辑函数 =+++ (1)Y BCD BC ACD ABD Y=∑+∑ (2)(1,3,4,9,11,12,14,15)(5,6,7,13) m d 三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波形 (10分)

四、用74LS161四位二进制计数器实现十进制计数器(15分) 五、某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分) r C Q A 、Q B 、Q C 、Q D :数据输出端; A 、B 、C 、D :数据输入端; P 、T :计数选通端; r C :异步复位端; CP :时钟控制输入端; D L :同步并置数控制端; C :位输出端;

数字电路题库

第1章数字电路基础一.填空: 1. 数制是用一组固定的()和一套统一的()来表示数目的方法。 2. 进制计数制的两个要素是()和()。 3. 二进制数转换成八进制数时,应将()位二进制数分为一组,代表()位八进制数。分 组时整数部分从()至()。 4. 二进制数转换成十六进制数时,应将()位二进制数分为一组,代表()位十六 进制数。分组时整数部分从()至()。 5. 常用二-十进制编码有()、()、()、()、()。 6. 二- 十进制编码分为有权码和无权码,其中()、()、()是有权码,()、 ()是无权码。 7. 逻辑函数的三种基本运算是()、()、()。 8. 所谓的真值表就是将逻辑变量的各种()取值的组合及其相应的()值列成的表 格。 9. 与运算的规则是(),逻辑表达式为(),逻辑符号为()。 10. 或运算的规则是(),逻辑表达式为(),逻辑符号为()。 11. 逻辑函数的五种表示方法是()、()、()、()、()。

12. 逻辑函数的表达式不是唯一的,可以相互转换,表达式有()、()、()、()、 ()。其中最基本表达式是()。 13. 逻辑函数的三个基本规则是()、()、()。 14. 对偶规则求的是原函数的()式,当两个函数相等时,其()也相等。 15. 代数化简法的四种基本方法是()、()、()、()。 16. 最小项是包括所有变量的()项,对于n 个变量的函数,共有()个最小项 17. 对于一个n 个变量的函数,每个最小项有()个最小项与之相邻。 18. 全体最小项之和为()。 19. 卡诺图也叫()方格图。具有()性和()性。 20. 4个1方格的卡诺圈可以消去()个变量。 二.数制转换: 1.将十进制数159 转换为二进制、八进制、十六进制数。 2.将十进制数237 转换为二进制、八进制、十六进制数。 3.将十进制数325 转换为二进制、八进制、十六进制数。 4.将十进制数229 转换为二进制、八进制、十六进制数。 5.将十进制数357 转换为二进制、八进制、十六进制数

数字电路基础知识考核试题及答案

数字电路基础考核试题 一、选择题 1. 二进制数10101转换为十进制数后为()[单选题] * 2. 逻辑函数式D+D,简化后结果是()[单选题] * 3. 一位十六进制数可以用二进制数来表示,需要二进制的位数是()[单选题] * 4. 当决定某个事件的全部条件都具备时,这件事才会发生。这种关系称为()[单选题]

5. 010*********的8421码为()[单选题] * 6. 4位二进制数可用十六进制数来表示,需要十六进制的位数是()[单选题] * 7. 8421BCD码用4位二进制数表示十进制数的位数是()[单选题] * 8. 在数字电路中,不属于基本逻辑门是()[单选题] *

9. 如图所示门电路,电路实现的逻辑式Y= [单选题] * 10. 如图所示的波形图表示的逻辑关系是() [单选题] * 11. 异或门F=A⊕B两输入端A、B中,A=1,则输出端F为()[单选题] *

12. 下列表所示的真值表完成的逻辑函数式为() [单选题] * 13. 当A=B=0时,能实现F=1的逻辑运算是()[单选题] * 14. 八位二进制数能表示十进制数的最大值是()[单选题] *

15. 将(01101)2转换为十进制数为()[单选题] * 16. 逻辑函数式Y=A+A,化简后的结果是()[单选题] * 17. 逻辑函数式Y=EF+E\+F\的逻辑值为()[单选题] * 18. 以下表达式中符合逻辑运算法则的是()[单选题] * 19. 当逻辑函数有n个变量时,取值组合有()[单选题] *

20. 二进制数码为(11101),则对应的十进制数为()[单选题] * 21. 下列说法中与BCD码的性质不符的是()[单选题] * 22. 数字信号和模拟信号的不同之处是()[单选题] * 23. “与非”运算的结果是逻辑“0”的输入是()[单选题] *

数字电路试题库最终版

第1章数字电路基础 一.填空: 1.数制是用一组固定的()和一套统一的()来表示数目的方法。 2.进制计数制的两个要素是()和()。 3.二进制数转换成八进制数时,应将()位二进制数分为一组,代表()位八进制数。分 组时整数部分从()至()。 4.二进制数转换成十六进制数时,应将()位二进制数分为一组,代表()位十六进制数。 分组时整数部分从()至()。 5.常用二-十进制编码有()、()、()、()、()。 6.二-十进制编码分为有权码和无权码,其中()、()、()是有权码,()、()是无权码。 7.逻辑函数的三种基本运算是()、()、()。 8.所谓的真值表就是将逻辑变量的各种()取值的组合及其相应的()值列成的表格。 9.与运算的规则是(),逻辑表达式为(),逻辑符号为()。 10.或运算的规则是(),逻辑表达式为(),逻辑符号为()。 11.逻辑函数的五种表示方法是()、()、()、()、()。 12.逻辑函数的表达式不是唯一的,可以相互转换,表达式有()、()、()、()、()。其中 最基本表达式是()。 13.逻辑函数的三个基本规则是()、()、()。 14.对偶规则求的是原函数的()式,当两个函数相等时,其()也相等。 15.代数化简法的四种基本方法是()、()、()、()。 16.最小项是包括所有变量的()项,对于n个变量的函数,共有()个最小项。 17.对于一个n个变量的函数,每个最小项有()个最小项与之相邻。 18.全体最小项之和为()。 19.卡诺图也叫()方格图。具有()性和()性。 20.4个1方格的卡诺圈可以消去()个变量。 二.数制转换: 1.将十进制数159转换为二进制、八进制、十六进制数。 2.将十进制数237转换为二进制、八进制、十六进制数。 3.将十进制数325转换为二进制、八进制、十六进制数。 4.将十进制数229转换为二进制、八进制、十六进制数。 5.将十进制数357转换为二进制、八进制、十六进制数。 6.将(101110101)二进制数转换为十进制数、八进制数和十六进制数。 7.将()二进制数转换为十进制数、八进制数和十六进制数。 8.将()二进制数转换为十进制数、八进制数和十六进制数。 9.将()二进制数转换为十进制数、八进制数和十六进制数。 10.将(1)二进制数转换为十进制数、八进制数和十六进制数。 三.用反演规则求反函数。 1.() =+ Y ABC B C =+++ 2.F AB AB AB C =⋅++ 3.L A B C D 4.() =+ Y ABC B C

10套数字电路复习题(带完整答案)

Made by 遇见 第一套 一.选择题(18分) 1.以下式子中不正确的是( ) a .1•A =A b .A +A=A c .B A B A +=+ d .1+A =1 2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y += 3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA 4.下列说法不正确的是( ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 5.以下错误的是( ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( )

a .“110” b .“100” c .“010” d .“000” 8、下列描述不正确的是( ) a .时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 b .寄存器只能存储小量数据,存储器可存储大量数据。 c .主从JK 触发器主触发器具有一次翻转性 d .上面描述至少有一个不正确 9.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便 b .集成二—十进制计数器和集成二进制计数器均可方便扩展。 c .将移位寄存器首尾相连可构成环形计数器 d .上面描述至少有一个不正确 二.判断题(10分) 1.TTL 门电路在高电平输入时,其输入电流很小,74LS 系列每个输入端的输入电流在40uA 以下( ) 2.三态门输出为高阻时,其输出线上电压为高电平( ) 3.超前进位加法器比串行进位加法器速度慢( ) 4.译码器哪个输出信号有效取决于译码器的地址输入信号( ) 5.五进制计数器的有效状态为五个( ) 6. 施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。( ) 7. 当时序逻辑电路存在无效循环时该电路不能自启动() 8. RS 触发器、JK 触发器均具有状态翻转功能( ) 9. D/A 的含义是模数转换( ) 10.构成一个7进制计数器需要3个触发器( ) 三.计算题(5分) 如图所示电路在V i =0.3V 和V i =5V 时输出电压V 0分别为多少,三极管分别工作于什么区(放大区、 截止区、饱和区)。 四.分析题(24分) 1.分析如图所示电路的逻辑功能,写出Y 1、Y 2的逻辑函数式,列出真值表,指出电路能完成什么逻辑功能。 V i 10k 3k GND +5V V 0

数字电路题库

《数字电子技术》综合复习资料 第一章(选择、判断共20题) 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。() 7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。() 8.占空比的公式为:q = t w / T,则周期T越大占空比q越小。() 9.十进制数(9)10比十六进制数(9)16小。() 10.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。() 三、填空题 1.描述脉冲波形的主要参数有、、、、、、。 2.数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用和来表示。 3.分析数字电路的主要工具是,数字电路又称作。 4.在数字电路中,常用的计数制除十进制外,还有、、。 5.常用的BCD码有、、、等。常用的可靠性代码有、等。 6.(10110010.1011)2=( )8=( )16 7.( 35.4)8 =()2 =( )10=( )16=( )8421BCD 8.(39.75 )10=()2=( )8=( )16 9.( 5E.C)16=()2=( )8=( )10= ( )8421BCD 10.( 0111 1000)8421BCD =()2=( )8=( )10=( )16 四、思考题 1.在数字系统中为什么要采用二进制?

相关主题
相关文档
最新文档