E4432B 数字和模拟信号发生器

E4432B 数字和模拟信号发生器
E4432B 数字和模拟信号发生器

E4432B 数字和模拟信号发生器

详细介绍:

2250KHz-3000MHz

2供单信道和多信道CDMA用的测量专用卡

2用于I和Q的20 MHz射频带宽

2极度高的电平精度

2步进扫描(频率、功率和列表)

2宽带调幅、调频和调相

2内部数据发生器和突发脉冲功能(选件UN8)

2灵活形成定制调制选件UN8,UND)

2机内有供DECT、GSM、NADC、PDC、PHS和TETRA用的TDMA格式(选件UN8)

2内部双任意波形发生器(选件UND)

2内部误码率分析仪(选件UND7)

23年保用期

产品介绍

Agilent ESG-D系列射频信号发生器除具有广泛的特性和优良的模拟性能之外,还提供多种数字调制功能,而且在价格方面亦能被用户所接受。他们提供了极好的调制精度和稳定度,以及空前的电平精度。AgilentESG-D系列特别适于满足当前数字接收机测试、元器件测试和本地振荡器应用日益提高的要求。

专门定制的调制和DECT、EDGE、GSM、NADC、PDC、PHS、TETRA标准(选件UN8)

内部生成通用标准的信号来对接收机进行测试。改变调制类型、数据、码元速率、滤波器型式和滤波因数,以生成供元器件和系统容限测试用的定制信号。很容易配置时隙来模拟不同类型的通信业务量、控制信道或同步信道(或突发信号)。可产生具有内部突发功能移动站或基站传输。还降低了对具有综合数据生成功能的外部设备的需求。

内部双任意波开发生器(选件UND)

能重现几乎任何以数学形式生成的波形。可下载长波形或多个波形(达1M取样),以放置或贮存到非易失RAM中供随后使用。14比特的数模转换器(DAC)分辨率扩大了动态范围和改善了噪声性能。在对I/Q生成进行优化后,双任意波形发生器选件将使装置大为简化。

W-CDMA和Cdma 2000

能产生符合正在拟定的国际标准的正确编码信号。模拟用于基站和移动接收机测试的全编码信道或部分编码统计修正的多信道信号,可以对用于正在拟定的国际3G标准的有源元件进行精确的大容量测试。

多信道和多载波CDMA

Agilent ESG-D系列提供CDMA(选件UN5)测量专用卡。用多个信道产生多载波CDMA信号,每个载波用于基站和移动站的系统或元件测试。通过选择预定的多载波CDMA配置或明确确定每个信道对每个载波的特性,可以为某些特殊的需要,如互补累积分布函数(CCDF)专门制定某种测试。

内部误码率分析仪(选件UN7)

为测量灵敏度和选择性而进行误码率分析。选件UN7提供用于PN9或PN15比特序列的分析功能,并指出用户规定的测试极限的合格或不合格条件。

宽带I和Q调制

利用模拟I和Q输入,产生复杂的调制格式,以满足射频数字通信系统开发研究和测试的需要。机内正交调制器处理I和Q输入信号,以在10MHz(1dB)带宽范围提供极高的调制精度和稳定度。

极高的电平精度

Agilent ESG-D系列射频信号发生器能在宽的功率范围(+13dBm~-136dBm,利用选件UNB时为+17dBm~-136dBm)以极高的电平精度进行精确、有效的灵敏度测试。内部调制格式的电平精度优于±1.1dB(典型值为+0.6dB),从而保证甚至对最灵敏的数字接收机也能进行精密测量。

技术指标

2频率:250kHz~3000MHz

2关于模拟远程编程和一般技术指标,参阅ESG系列数字调制的电平精度

(ALC接通;相对于连续波;伪随机二进制脉冲序列(PRBS)已调数据)^1л/4DQPSK或QPSK格式:±0.15dB (采用余弦平方或余弦平方根滤波器,α≥0.35;1KHz≤符号速率≤1MHz,射频频率≥25MHz;功率≤最大额定值-3dBm或用选件UNB时为-6dBm)

2恒定幅度格式

(FSK,GSMK等):功率电平精度未降低

2ALC断开时的电平精度^2:±0.3dB,典型值(在完成功率搜索之后,相对于ALC接通时的连续波电平精度;若启动外部I/Q:SQR1^2+Q^2+0.5 V rms)

2I/Q调制

2I&Q输出

2输入阻抗:50Ω

2满刻度输入:SQR1^2+Q^2=0.5 V rms

2调节/减损(额定值):

2直流偏置:(I和Q分别独立可调)+100%

2I/Q增益比:±4dB

2I/Q正交:±10°

2直流矢量精度

2相对于满刻度,功率≤+7dBm(对于选件UNB,≤+10dBm)

2^1去除原点偏离时在满刻度上测得的数据。

2突发脉冲包络

2通/断比:Vin:≤-1.05V ≤3GHz:>75dB >3Ghz:>60dB

2上升/下降时间:<2μs,典型值最小突发脉冲串速率

2ALC接通:10Hz,典型值

2ALC切断:DC

2外部输入:Ext 1

2输入阻抗:50Ω

2输入电压:

2射频断开:-1.0V

2射频接通:0V 相干载波输出

2范围:250MHz到最高载波频率

2电平: 0dBm+5dB,典型值

2阻抗:50Ω

2可选用的实时I/Q基带发生器(选件UN8)调制格式

2PSK:BPSK,QPSK,OQPSK,л/4DQPSK,8PSK,16PSK,D8PSK

2MSK:用户定义的相位偏移

2QAM:4,16,32,64,256

2FSK:对称的2,4,8,16

2定制FSK:用户定义的非对称FSK

2定制I/Q:I和Q的16个独特值的变换

2滤波器:Nyquist,Root Nyquist,高斯,定制FIR

2滤波器速率:α:0-1;BT 0。1-1

2码元速率:可调到高达12M码元/秒

2数据结构

2帧和时隙可以配置成不同类型的业务承载信道或控制信道。时隙的数据域可以接受用户文件,PRBS(伪随机二进制脉冲序列)或具有适当时钟的外部数据。

2内部数据伪随机模式:连续PN9,PN11,PN15,PN20或PN23

2重复时序:任意4比特时序

2可下载的数据

2最大容量(模式RAM):1 Mbit,8 Mbit(选件UN9)最小容量(用户文件):128kbytes

外部数据

2输入:数据,比特/码元时钟(接受规定数据速率±5%的各种速率)

2基准频率:内部或外部1、2、5、10MHz基准。数据时钟可以锁定到外部13MHz GSM基准上)。

2帧触发延迟控制

2范围:0-65,535bits

2分辩率:1 bit

内部突发脉冲成形控制

上升/下降时间范围:直到30bits

上升/下降延迟范围:0-63.5bits

2I/Q输出

2EVM(NADC,PDC,PHS,TETRA):1% rms

可选用的双任意波形发生器(选件UND)

通道数:2

分辩率:14 bit(I/6384)

波形存储长度

重现:1 M取样/通道

贮存(非易失RAM):1 M取样/通道

波形段

长度:16个取样-1M取样

段数:128

定序:连续重复

取样速率:1Hz-40MHz

输出端再建的滤波器

类型:椭圆

Fcutoff(额定,3dB): 250KHz,.5MHz和直通

基带频谱纯度

(典型、满刻度正弦波,>20x过取样)

谐波失真:

<100KHz:<-80dBc

100KHz-2MHz:<-65dBc

非谐波寄生信号:<-80dBc

相位噪声:<-120dBc/Hz

(在20KHz偏移下,1MHz正弦波的基带输出)互调(IM)性能:<-69dBc

(在满刻度处的950KHz和1050KHz的两个正弦波)可选用的误码率分析仪(选件UN7)时钟速率:100Hz-10MHz

被支持的数据模式:PN9,PN15

分辩率:10位数

最小同步长度:9bit(PN9<2 MHz)

比特序列长度:100bit-同步后的4.294 Gbit

多信道CDMA测量专用卡(选件UN5)

寄生发射(典型dBc,大的波峰因数)

芯片(码元)速率:可从1KHz调到10MHz,4x过取样

预定信道配置:导频,9,32,64,反向

p:0.9996

(<4 dBm,IS-95滤波器,<2GHz,典型值)

用户定义的信道

信道数:1-256

沃尔什代码:0-63

信道功率:0- -40dBm

伪道机噪声(PN)偏移:0-511

数据:00-FF(十六进制)或随机数据

订货信息

Agilent E4430A

Opt 1CM 机架安装配件,p/n 5063-9214

Opt 1CN面板把手配件,p/n 5063-9227

Opt 1CP带有把手的机架安装配件,p/n 5063-9227

Opt 1E5附加高稳定度时基

Opt 1EM将所有面板连接器移到后面板上

Opt UNA UN8的另一个时隙功率电平控制选件

Opt UNB带有机械衰减器的高功率选件

Opt UND内部双任意波形发生器

Opt UN5用于UND的单信道和多信道CDMA测量专用卡

Opt H03单信道CDMA测量专用卡

Opt UN7内部误码率分析仪

Opt 符合TDMA标准的实时I/Q基带发生器

Opt UN9用于UN8的附加7 Mbits RAM存储器

Opt 用于UND的W-CDMA测量专用卡

Opt H98 W-CDMA接收机测试基带发生器

Opt H99 W-CDMA、CDMA、TETRA的经改进的邻近信道功率(ACP)性能附件

运输箱 p/n 9211-1296

Agilent 83300A 远程控制接口

基于proteus仿真的信号发生器

摘要 数字信号发生器是在电子设计,自动控制系统和仪表测量校正调试中应用很多的一种信号发生装置和信号源。本文采用AT89C51单片机构成的数字信号发生器,通过波形变换,可以产生方波,三角波,锯齿波等多种波形,波形的周期可通过程序来改变,并可以根据需要选择单极性输出或者双极性输出。具有线路简单,性能优越,结构紧凑等特点。 关键词:AT89C51;数字信号发生器;波形变换 ABSTRACT Digital signal generator in the electronic design、Automatic control system and instrumentation correction in debugging application a lot of signal generator and signal source。This paper uses the AT89C51chip microprocessor digital signal generator,Through waveform conversion, can produce square wave, triangle wave, sawtooth wave and other wave,Waveform cycle can be programmed to change。And can be based on the need to select the output unipolar or bipolar output,With simple lines, superior performance, compact structure. Key words:AT89C51; Digital signal generator; Wave transformation

模拟信号源实验报告

实验1 模拟信号源实验 一、实验目的 1.了解本模块中函数信号产生芯片的技术参数; 2.了解本模块在后续实验系统中的作用; 3.熟悉本模块产生的几种模拟信号的波形和参数调节方法。 二、实验仪器 1.时钟与基带数据发生模块,位号:G 2.频率计1 台 3.20M 双踪示波器1 台 4.小电话单机1 部 三、实验原理 本模块主要功能是产生频率、幅度连续可调的正弦波、三角波、方波等函数信号(非同步函数信号),另外还提供与系统主时钟同源的2KHZ 正弦波信号(同步正弦波信号)和模拟电话接口。在实验系统中,可利用它定性地观察通信话路的频率特性,同时用做PAM、PCM、ADPCM、CVSD(Δ M)等实验的音频信号源。本模块位于底板的左边。 1.非同步函数信号 它由集成函数发生器XR2206 和一些外围电路组成,XR2206 芯片的技术资料可到网上搜索得到。函数信号类型由三档开关K01 选择,类型分别为三角波、正弦波、方波等;峰峰值幅度范围0~10V,可由W03调节;频率范围约500HZ~5KHZ,可由W02 调节;直流电平可由W01 调节(一般左旋到底)。非同步函数信号源结构示意图,见图2-1。 2.同步正弦波信号 它由2KHz 方波信号源、低通滤波器和输出放大电路三部分组成。2KHz 方波信号由“时钟与基带数据发生模块”分频产生。U03 及周边的阻容网络组成一个截止频率为2KHZ 的低通滤波器,用以滤除各次谐波,只输出一个2KHz 正弦波,在P04 可测试其波形。用其作为PAM、PCM、ADPCM、CVSD(Δ M)等模块的音频信号源,其编码数据可在普通模拟示波器上形成稳定的波形,便于实验者观测。W04 用来改变输出同步正弦波的幅度。同步信号源结构示意图,见图2-2。

数字信号发生器课程设计

数字信号发生器的设计 摘要 信号发生器也叫做振荡器或是信号源,在现在的科技生产实践中有着广泛而重要的应用。现在的特殊波形发生器在价格上不够经济,有些昂贵。而基于AT89C51单片机的函数信号发生器可以满足此要求。根据傅里叶变换,各种波形均可以用三角函数的相关式子表示出来。函数信号发生器能够产生多种波形,如三角波、锯齿波、矩形波、方波和正弦波。 本文通过在单片机的外围加上键盘,控制波形的种类和输出频率的大小,加上LED 显示出相应信息。单片机输出为数字信号,于是在输出端用DAC0832进行D/A转换,再通过两级运放对波形进行调整。最终在示波器上显示出来。 关键词:信号发生器, AT89C51,D/A转换,波形调整

目录 1 绪论 (1) 1.1 课题研究背景 (1) 1.2波形介绍 (1) 2系统设计 (3) 2.1方案选择 (3) 2.2框图设计 (3) 2.3单片机模块 (4) 2.4按键控制与显示电路设计 (6) 2.5 D/A转换电路 (7) 2.6 显示电路 (9) 2.7 放大电路设计 (12) 2.8整体的电路原理图 (13) 2.9元件清单 (13) 3软件设计 (15) 3.1程序流程图 (15) 3.2程序代码 (15) 4系统仿真及调试 (18) 4.1系统仿真图 (18) 4.2系统调试 (19) 总结 (21) 致谢 (22) 参考文献 (23)

1绪论 1.1课题研究背景 随着经济与科技不断发展,相应的测试仪器与手段也有了许多改善与提高,但是对之要求也不断提高。波形发生器的信号已知,使用者然后根据具体的要求,将其作为激励源,测得感兴趣的参数。信号源仿真各种测试信号,给待测电路,从而满足现实需求。信号发生器在仿真实验占有重要地位,对于测试仪器来说也同样不可缺少。因此对相关信号发生器的研究开发有着一定的意义。 传统的信号发生器电路复杂,控制灵活度不够,成本也相对较高。虽然我国所研制的波形发生器在一定程度上已有了一些成果,但与国外技术确实还存在一定差距,因此很有必要提高相关方面的研究。 利用单片机的控制灵活性,外设处理能力强等特点,实现频率与幅度可调的多种波形,这就克服了传统的缺点,具有良好的实用性。同时根据程序的易控制性,可以容易实现各种较复杂的调频调幅功能。 1.2波形介绍 正弦波,正弦信号可用如下形式表示 f (t)=A sin(ωt+θ) (1) 其中,A 为振幅,ω是角频率,θ为初相位。正弦函数为一周期信号如下图1所示: 图1正弦波 ·方波 方波函数是我们常用且所熟知的简单波形函数,做脉冲等,其表示形式如下:

(数字信号发生器+电子琴)实验报告

实验一数字信号发生器和电子琴制作 一、实验目的 1.熟悉matlab的软件环境,掌握信号处理的方法,能在matlab的环境下完成对 信号的基本处理; 2.学会使用matlab的GUI控件编辑图形用户界面; 3.了解matlab中一些常用函数的使用及常用运算符,并能使用函数完成基本的 信号处理; 二、实验仪器 计算机一台,matlab R2009b软件。 三、实验原理 1.数字信号发生器 MATLAB是矩阵实验室(Matrix Laboratory)的简称,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB 和Simulink两大部分。 已知的常用正弦波、方波及三角波,可以通过matlab自带的函数实现,通过改变函数的幅值、相位和频率可以得到不同的信号。 正弦信号:y=A*sin(2*pi*f*t); 方波信号:y=A*square(2*f*pi*x+c); 三角波信号:y=A*sawtooth(2*pi*f*x+c); 2. 电子琴 电子琴的每个音阶均对应一个特定频率的信号,通过调用数字信号发生器产生一系列指定的频率的声音,从而达到虚拟的电子琴的功能。界面中包含1、2、…、7共 7 个琴键,鼠标按下时即发声,松开时发声停止。同时能够产生正弦波、方波、三角波等常见的波形的数字信号,然后将数字信号写入声卡的缓冲区,最后由声卡播放出相应的声音。 已知音乐的七个音阶的主频率分别是131Hz、147Hz、165Hz、175Hz、196Hz、220Hz和247Hz,分别构造正弦波、方波和三角波,可以组成简单的电子琴。

四、实验内容 1.数字信号发生器的制作 (1)搭建GUI界面 图形用户界面(Graphical User Interface,简称GUI,又称图形用户接口)是指采用图形方式显示的计算机操作用户界面。与早期计算机使用的命令行界面相比,图形界面对于用户来说在视觉上更易于接受。 Matlab环境下的图形用户界面(GUI)是由窗口、光标、按键、菜单、文字说明等对象(Objects)构成的一个用户界面。用户通过一定的方法(如鼠标或键盘)选择、激活这些图形对象,使计算机产生某种动作或变化,比如实现计算、绘图等。MATLAB的用户,在指令窗中运行demo 打开那图形界面后,只要用鼠标进行选择和点击,就可产生丰富的内容。 利用GUI控件中自带的按钮,根据需要组成如下图1所示的数字信号发生器的Gui界面。 图1 数字信号发生器的GUI界面

模拟与数字信号源

实验一模拟与数字信号源 一、实验目的 1、熟悉各种时钟信号的特点及波形; 2、熟悉各种数字信号的特点及波形。 1、熟悉CPLD可编程信号发生器各测量点波形 2、测量并分析各测量点波形及数据 3、了解CPLD可编程器件的编程操作 4、熟练掌握模拟信号源的使用方法 二、实验电路的工作原理 1、CPLD可编程模块电路的功能及电路组成 CPLD可编程模块用来产生实验系统所需要的各种时钟信号和数字信号。它由CPLD可编程器件ALTERA公司的EPM240(EPM7128或者是Xilinx公司的XC95108)、下载接口电路(J101)和一块晶振(JZ101)组成。晶振用来产生8.1920MHz系统内的主时钟。本实验要求参加实验者了解这些信号的产生方法、工作原理以及测量方法,才可通过CPLD可编程器件的二次开发生成这些信号,理论联系实践,提高实际操作能力(如图1-1所示)。 2、数字信号源的使用方法 数字信号源各个引脚表明产生的方波频率,数值即为频率值以KHZ为单位,如“1”即代表1KHz。所产生的波形幅度约5V。SYN_8:输出8KHz冲序列;PRC_32和PRC_2引脚均输出随机码455 :输出455KHZ方波 图1-1 CPLD可编程模块电路图 3、模拟信号的使用方法 标有“正弦波”、“方波”的电位器用来调节各产生波形的幅度。“频率调节”电位器用来调节产生波形的频率。使用示波器测量观察相关波形。

三、实验内容 1、熟悉通信原理实验系统工作原理及电路组成; 2、熟悉信号发生器各测量点信号波形; 3、测量并分析各各测量点信号波形。 四、实验步骤 1、打开电源开关,给系统上电。 2、用示波器测量数字信号以及模拟信号的相关波形,测量时注意示波器探头接地良好。 注意事项:模拟信号源产生的方波和正弦波,幅度均可调。为防止在以后的实验中不致因为信号的加入而损坏电路板,请同学们在进行实验时先调好需要波形的幅度(切 记)和频率。

信号源实验

实验一信号源实验 一、实验目的 1、掌握频率连续变化的各种波形的产生方法 2、掌握用FPGA产生伪随机码的方法 3、掌握码型可变NTZ码的产生方法 4、了解用FPGA进行电路设计的基本方法 5、了解帧同步信号与同步信号在整个通信系统中的作用 6、熟练掌握信号源模块的使用方法 二、实验内容 1、观察频率连续可变信号发生器输出的各种波形及7段数码管的显示 2、观察点频方波信号的输出 3、观察点频正弦波信号的输出 4、波动拨码开关,观察码型可变NRZ码的输出 5、观察位同步信号和帧同步信号输出 6、改变FPGA程序,扩展其他波形 三、实验器材 1、信号源模块 2、20M双踪示波器 3、频率计 4、PC机 5、连接线 四、实验原理 信号源模块可以大致分成模拟部分和数字部分,分别产生模拟信号和数字信号。 1、模拟信号源部分 模拟信号源部分可以输出频率和幅度可任意改变的正弦波(频率变化范围100Hz~10KHz)、三角波(频率变化范围100Hz~1KHz)、方波(频率变化范围

100Hz~10KHz)、锯齿波(频率变化范围100Hz~1KHz)以及32KHz、64KHz、1MHz、的点频正弦波(幅度可以调节)。 我们已经将各种波形在不同频段的数据写入了数据存储器U005(2864)并存放在固定的地址中。 2、数字信号源部分 数字信号源部分可以产生多种频率的点频方波、NRZ码以及位同步信号和帧同步信号。 晶振出来的方波信号经3分频后分别送入分频器和另外一个可预知分频器分频,前一频器分频后可得到1MHz、256KHz、64KHz、8KHz的方波以及8KHz 的窄脉冲信号。可预置分频的分频比可通过拨码开关SW101、SW102来改变,分频比范围是1~9999。分频后的新号即为整个系统的位同步信号(从信号输出点“BS”输出)。数字信号源部分还包括一个NRZ码产生电路,通过该电路可产生24位为一帧的周期性NRZ码序列,该序列的码型可通过拨码开关SW103、SW104、SW105来改变。 五、实验步骤 1、插上电源线,打开交流开关,再按下开关POWER1、POWER2,按一下复位键, 信号源模块开始工作。 2、模拟信号源部分 a、观察“32K正弦波”、“64K正弦波”、“1M正弦波”可并分别改变各正弦波的 幅度。 b、按下“复位”波形指示灯“三角波”亮,数码管M001~M004显示“2000”。 c、按一下“波形选择”,“三角波”亮,输出波形为是三角波。逐次按下“波形 选择”轮流输出正弦波、三角波、锯齿波和方波。 d、波形选择为正弦波,改变输出信号的频率,观察“模拟输出”点的波形,计 算其频率是否与数码管显示的一致。转动“幅度调节1”改变幅度 e、分别选择为三角波,锯齿波,方波重复上述实验 f、模拟信号放大通道:链接“模拟输出”点与“IN”点,观察“OUT”点波形, 转动“幅度调节2”改变输出信号的幅度 3.数字信号源部分

四位数字显示函数信号发生器的设计和制作

《综合电子技术》 课程设计指导书 四位数字显示函数信号发生器 的设计和制作 汤栋王尧编 三江大学 电气工程与自动化学院 二OO七年十二月

、设计目的

在《模拟电子技术》和《数字电子技术》课程学习和实验的基础上 ,通过《综合 电子技术》课程设计,使学生在电子技术基础知识和设计、调试能力方面达到以下要 求: 1. 进一步加深理解电子线路基本功能单元的工作原理及其电路设计、参数选择方 法; 2. 学会绘制电路原理图、接线图,学会正确安装、调试并排除常见故障; 3. 熟悉示波器、信号发生器、稳压电源及晶体管毫伏表的正确使用,重点要求学会 使用示波器观测信号波形、幅值。 二、 设计任务 设计一个能输出正弦波、锯齿波、矩形波等信号频率,并能数字显示(四位)频率的 多波形函数发生器。 三、 技术指标 该波形发生器的主要技术指标如下: 1. 可输出正弦波、锯齿波(含三角波)、矩形波(含方波)等波形; 2. 输出信号频率范围:1HZ~9999H 并能四位数码显示。 四、 系统框图和各功能单元介绍及要求 1. 系统框图:本设计为一具有四位数字显示频率的函数发生器,其系统框图如下: 图一系统框图 2. 各单元电路及要求: 1) 电源部分 设计一组土 1.2V ?土 20V 可调直流稳压电源 2) 信号源部分 正弦波信号源: 叵洼稳压电煩 士 I2V 正弦信号濒 T 柜形渡墙号腫T *输出,正弦疲 f\f\

输出正弦电压频率f o=1KHZ f o=1OKHZ M档; 输出正弦电压V O(有效值)0.5V?5V可调;输出直流偏移电压范围:O?± 3V; 矩形波信号源 输出矩形波电压频率:1KHZ、10KHZ两档;输出矩形波电压幅值: ± 5V;输出矩形波电压直流偏移电压范围: 0 ?± 3V; 锯齿波信号源 锯齿波频率:1KHZ、10KHZ两档;锯齿波电压幅值:± 4V;可输出正反向锯齿波及三角波; 3)秒信号源:产生周期为一秒的方波信号,作为测控时基信号。 4)控制单位:产生一系列顺序脉冲,用作计数,保持,显示和复位控制,使频率计按时序 正常工作。 5)偏移放大、整形电路:将输入正弦波、三角波等被测信号变换为方波脉冲序列,以便测 量其频率。 6)计数闸门:用于产生一秒钟内的被测信号脉冲个数,便于后面电路计数显示。 7)计数、译码、驱动和显示电路:在控制电路产生的顺序脉冲控制下,周期性地计数和显 示被测信号频率。 3. 选做部分 1 )频率显示时间延长; 2)加秒信号输出功能; 3)溢出指示。 五、设计要求 1.选择各部分电路结构,按上列指示要求,设计计算有关电路各参数,并最终选出元器件;2.画出各部分电路原理图及接线图,列出各电路元器件的明细表。(注意电路图中各元器件统一编号); 3.在原理图上标明各级电路预期的输出波形及测量值,并在接线图上选定测试点; 六、调试要求 1.列出各部分电路调试过程并自拟数据表格和所需测试的有关波形,做详细记录。 2.记录调试过程中出现的故障,经过分析并提出解决的办法。

基于DDS的数字移相信号发生器

EDA课程设计 课题名称_ 基于DDS的数字移相信号发生器 专业_ 电子信息工程____ _ _ 班级_____ _________ __ __ 学号_ 姓名_ __ __ 成绩_____ ____________ _ 指导教师___ _ ___ ___ 2014年 5 月7日

一、课程设计目的 (3) 二、设计任务 (3) 三、工作原理及模块分析 (3) 1、频率预置与调节电路 (4) 2、累加器 (4) 3、波形存储器 (4) 4、D/A转换器 (5) 四、相关程序 (5) 1、加法器 (5) (1)ADD10 (5) (2)ADD32 (7) 2、寄存器 (8) (1)REG10B (8) (2)REG32B (10) 3、ROM (11) 4、主程序 (13) 五、仿真结果: (16) 六、引脚配置和下载 (17) 七、实验心得 (18)

一、课程设计目的 1、进一步熟悉Quartus Ⅱ的软件使用方法; 2、熟悉利用VHDL设计数字系统并学习LPM_ADD_SUB、LPM ROM、LPM_FF 的使用方法; 3、学习FPGA硬件资源的使用和控制方法; 4、掌握DDS基本原理,学习利用此原理进行信号发生器的设计 二、设计任务 完成10位输出数据宽度的频率可调的移相正弦信号发生器,通过按键调节频率和初始相位,实现相位和频率可调的正弦信号发生器 三、工作原理及模块分析 直接数字频率合成器(DDS)是通信系统中常用到的部件,利用DDS可以制成很有用的信号源。与模拟式的频率锁相环PLL相比,它有许多优点,突出为(1)频率的切换迅速;(2)频率稳定度高。 一个直接数字频率合成器由相位累加器、波形ROM、D/A转换器和低通滤波器构成。DDS的原理框图如下所示: 频率预置与调节电路 累加器 累加器波形存储器 波形存储器D/A转换器 D/A转换器低通滤波器 低通滤波器K N位 N位 fc S(n) D位 S(t) 图1直接数字频率合成器原理图 其中K为频率控制字,fc为时钟频率,N为相位累加器的字长,D为ROM 数据位及D/A转换器的字长。相位累加器在时钟fc的控制下以步长K作为累加,输出N位二进制码作为波形ROM的地址,对波形ROM进行寻址,波形ROM输出的幅码S(n)经D/A转换器变成梯形波S(t),再经低通滤波器平滑后就可以得到合成的信号波形了。合成的信号波形形状取决于波形ROM中存放的幅码,因此用DDS可以产生任意波形。本设计中直接利用D/A转换器得到输出波形,省略了低通滤波器这一环节。

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

信号源基础知识

信号源基础知识

信号源基础知识 1、认识函数信号发生器 信号发生器一般区分为函数信号发生器及任意波形发生器,而函数波形发生器在设计上又区分出模拟及数字合成式。众所周知,数字合成式函数信号源无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟,其锁相环( PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phase Jitter)及频率漂移均能达到相当稳定的状态,但毕竟是数字式信号源,数字电路与模拟电路之间的干扰,始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发生器。 谈及模拟式函数信号源,结构图如下: 这是通用模拟式函数信号发生器的结构,是以三角波产生电路为基础经二极管所构成的正

弦波整型电路产生正弦波,同时经由比较器的比较产生方波。 而三角波是如何产生的,公式如下: 换句话说,如果以恒流源对电容充电,即可产生正斜率的斜波。同理,右以恒流源将储存在电容上的电荷放电即产生负斜率的斜波,电路结构如下: 当I1 =I2时,即可产生对称的三角波,如果I1 > >I2,此时即产生负斜率的锯齿波,同理I1 < < I2即产生正斜率锯齿波。 再如图二所示,开关SW1的选择即可让充电速度呈倍数改变,也就是改变信号的频率,这也就是信号源面板上频率档的选择开关。同样的同步地改变I1及I2,也可以改变频率,这也就是

信号源上调整频率的电位器,只不过需要简单地将原本是电压信号转成电流而已。 而在占空比调整上的设计有下列两种思路: 1、频率(周期)不变,脉宽改变,其方法如下: 改变电平的幅度,亦即改变方波产生电路比较器的参考幅度,即可达到改变脉宽而频率不变的特性,但其最主要的缺点是占空比一般无法调到20%以下,导致在采样电路实验时,对瞬时信号所采集出来的信号有所变动,如果要将此信号用来作模数(A/D)转换,那么得到的数字信号就发生变动而无所适从。但不容否认的在使用上比较好调。 2、占空比变,频率跟着改变,其方法如下:

实验一信号源实验共7页

通信原理实验报告(一) 颜平 222011315220096 实验一信号源实验 一.实验目的 1.了解频率连续变化的各种波形的产生方法。 2.理解帧同步信号与位同步信号在整个通信系统中的作用。 3.熟练掌握信号源模块的使用方法。 二.实验内容 1.观察频率连续可变信号发生器输出的各种波形及7段数码管的显示。2.观察点频方波信号的输出。 3.观察点频正弦波信号的输出。 4.拨动拨码开关,观察码型可变NRZ码的输出 5.观察位同步信号和帧同步信号的输出 三.实验器材 1.信号源模块 2.20M双踪示波器 一台3.频率计(可选) 一台 4.PC机(可选) 一台

5.连接线若干 四.实验原理 信号源模块可以大致分为模拟部分和数字部分,分别产生模拟信号和数字信号。 1.模拟信号源部分 图1-1 模拟信号源部分原理框图 如上原理框图部分, 模拟信号源部分可以输出频率和幅度可任意改变的正弦波(频率变化范围100Hz~10KHz)、三角波(频率变化范围100Hz~1KHz)、方波(频率变化范围100Hz~10KHz)、锯齿波(频率变化范围100Hz~1KHz)以及32KHz、64KHz、1MHz的点频正弦波(幅度可以调节) 2.数字信号源部分 可以产生多种频率的点频方波、NRZ码(可通过拨码开关SW103、SW104、SW105改变码型)以及位同步信号和帧同步信号。绝大部分电路功能由U004(EPM7128)来完成,通过拨码开关SW101、SW102可改变整个数字信号源位同步信号和帧同步信号的速率,该部分电路原理框图如图1-2所示。 图1-2 数字信号源部分原理框图

五、操作方法与实验步骤: 1、将信号源模块小心固定在主机箱中,确保电源接触良好。 2、插上电源线,打开主机箱右侧的交流开关,再按下开关POWER1、POWER2,发光二极管LED001、LED002发光,按一下复位键,信号源模块开始工作。 3、模拟信号源部分 ①观察“32K正弦波”、“64K正弦波”、“1M正弦波”各点输出的正弦波波形,对应的电位器“32K幅度调节”、“64K幅度调节”、“1M幅度调节”可分别改变各正弦波的幅度。 ②按下“复位”键使U006复位,波形指示灯“正弦波”亮,波形指示灯“三角波”、“锯齿波”、“方波”以及发光二极管LED007灭,数码管 M001~M004显示“2000”。 ③按一下“波形选择”按键,波形指示灯“三角波”亮(其他仍熄灭),此时信号输出点“模拟输出”的输出波形为三角波。逐次按下“波形选择”按键,四个波形报指示灯轮流发亮,此时“模拟输出”点轮流输出正弦波、三角波、锯齿波、和方波。 ④将波形选择为正弦波,转动旋转编码器K001,改变输出信号的频率,观察“模拟输出”点的波形,并注意计算其频率是否与数码管显示的一致。转动电位器“幅度调节1”可改变输出信号的幅度,幅度最大可达3V以上。 ⑤将波形分别选择为三角波、锯齿波、方波、重复上述实验。 4.数字信号源部分 ①拨码开关SW101、SW102的作用是改变分频器的分频比,得到不同频

(完整版)数字信号发生器的电路设计_(毕业课程设计)

1 引言 信号发生器又称信号源或者振荡器,它是根据用户对其波形的命令来产生信号的电子仪器,在生产实践和科技领域有着广泛的应用。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其他仪表测量感兴趣的参数。信号发生器在通信、广播、电视系统,在工业、农业、生物医学领域内,在实验室和设备检测中具有十分广泛的用途。 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。到70年代处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。随着现代电子、计算机和信号处理等技术的发展,极大地促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之发展起来。

信号发生器作为电子领域不可缺少的测量工具,它必然将向更高性能,更高精确度,更高智能化方向发展,就象现在在数字化信号发生器的崛起一样。但作为一种仪器,我们必然要考虑其所用领域,也就是说要因地制宜,综合考虑性价比,用低成本制作的集成芯片信号发生器短期内还不会被完全取代,还会比较广泛的用于理论实验以及精确度要求不是太高的实验。因此完整的函数信号发生器的设计具有非常重要的实践意义和广阔的应用前景。 2 数字信号发生器的系统总述 2.1 系统简介 信号发生器广泛应用于电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域。 本设计以AT89C52[1]单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统主要包括CPU模块、显示模块、键盘输入模块、数模转换模块、波形输出模块。系统电路原理图见附录A,PCB (印制电路板)图见附录B。其中CPU模块负责控制信号的产生、变化及频率的改变;模数转换模块采用DAC0832实现不同波形的输出;显示模块采用1602液晶显示,实现波型和频率显示;键盘输入模块实

信号发生器概述

信号发生器概述 凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。 信号源是根据用户对其波形的命令来产生信号的电子仪器。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。 信号源的分类和作用 信号源有很多种分类方法,其中一种方法可分为混和信号源和逻辑信号源两种。其中混和信号源主要输出模拟波形;逻辑信号源输出数字码形。混和信号源又可分为函数信号发生器和任意波形/函数发生器,其中函数信号发生器输出标准波形,如正弦波、方波等,任意波/函数发生器输出用户自定义的任意波形;逻辑信号发生器又可分为脉冲信号发生器和码型发生器,其中脉冲信号发生器驱动较小个数的的方波或脉冲波输出,码型发生器生成许多通道的数字码型。如泰克生产的AFG3000系列就包括函数信号发生器、任意波形/函数信号发生器、脉冲信号发生器的功能。 另外,信号源还可以按照输出信号的类型分类,如射频信号发生器、扫描信号发生器、频率合成器、噪声信号发生器、脉冲信号发生器等等。信号源也可以按照使用频段分类,不同频段的信号源对应不同应用领域。 下面我们将对函数信号发生器和任意波形/函数发生器做简要介绍: 1、函数信号发生器 函数发生器是使用最广的通用信号源,提供正弦波、锯齿波、方波、脉冲波等波形,有的还同时具有调制和扫描功能。 函数波形发生器在设计上分为模拟式和数字合成式。众所周知,数字合成式函数信号源(DDS)无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟式,其锁相环(PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phaseJitter)及频率漂移均能达到相当稳定的状态,但数字式信号源中,数字电路与模拟电路之间的干扰始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发生器,如今市场上的大部分函数信号发生器均为DDS信号源。 2、任意波形发生器 任意波形发生器,是一种特殊的信号源,不仅具有一般信号源波形生成能力,而且可以仿真实际电路测试中需要的任意波形。在我们实际的电路的运行中,由于各种干扰和响应的存在,实际电路往往存在各种缺陷信号和瞬变信号,如果在设计之初没有考虑这些情况,有的将会产生灾难性后果。任意波发生器可以帮您完成实验,仿真实际电路,对您的设计进行全面的测试。 由于任意波形发生往往依赖计算机通讯输出波形数据。在计算机传输中,通过专用的波

信号发生器设计书

题目名称:信号发生器(一)姓名:姚添珣 班级:电气N112班 学号:201145679204 日期:2013/7/4

模拟电子技术课程设计任务书 适用专业:电气工程及自动化专业 设计周期:一周 一、设计题目:信号发生器(一) 二、设计目的 1、研究正弦波等振荡电路的振荡条件。 2、学习波形产生、变换电路的应用及设计方法以及主要技术指标的测试方法。 三、设计要求及主要技术指标 设计要求:设计并仿真能产生方波、三角波及正弦波等多种波形信号输出的波形发生器。 1、方案论证,确定总体电路原理方框图。 2、单元电路设计,元器件选择。 3、仿真调试及测量结果。 主要技术指标 1、正弦波信号源:信号频率范围20Hz~20kHz 连续可调;频率稳定度较高。信号幅度可以在一定范围内连续可调; 2、各种输出波形幅值均连续可调,方波占空比可调; 3、设计完成后可以利用示波器测量出其输出频率的上限和下限,还可以进一步测出其输出电压的范围。 四、仿真需要的主要电子元器件 1、运算放大电路 2、滑线变阻器 3、电阻器、电容器等 五、设计报告总结(要求自己独立完成,不允许抄袭)。 1、对所测结果(如:输出频率的上限和下限,输出电压的范围等)进行全面分析,总结振荡电路的振荡条件、波形稳定等的条件。 2、分析讨论仿真测试中出现的故障及其排除方法。 3、给出完整的电路仿真图。 4、体会与收获。

第1章方案论证与比较 1.1 方案提出 方案一: 首先由RC桥式正弦波振荡器产生正弦波信号,然后用迟滞比较器将正弦波信号转换为方波信号,最后经过积分器将方波信号转换为三角波信号。 正弦波方波三角波 方案二: 首先,(比较器和积分器组成方波-三角波产生电路)把迟滞比较器和积分器首尾相接形成正反馈闭环系统,则比较器输出的方波经积分器积分可得到三角波,三角波又触发比较器自动翻转形成方波,最后通过差分放大器将三角波信号转换为正弦波信号。 方波三角波正弦波 方案三:

模拟心电信号发生器SKX-2000应用

模拟心电信号发生器SKX-2000A/C/D/G

本系列模拟心电信号发生器性能特点: 1、模拟器内置大容量锂电池,可以长时间工作;充满后可以连续工作大于60个小时(出厂时)。因为是锂电池,请尽量不要过度放电。请注意正确使用充电器,充电器电压不能高于4.2V。 2、采用10个万能心电转接接头,可与各种心电图机和监护仪的导联线进行连接。 3、充电器绿灯亮表示充电完成,红色越亮表示电量低。 4、增加电池电量低自动关断功能,保护锂电池。 5、模拟器的LED显示管,为防止用户在使用过程中忘记关闭电源,系统设计为当4个小时内内没有操作按键时,CPU将进入待机状态,以便节电。按任意按键则计时归零。 本系列机型功能特点区别与价格体系如下: SKX-2000A型信号发生器 只有模拟的人体心电波形,不能更改波形内容,外壳上也无显示区;价格是380元包邮. SKX-2000C:480元包邮 本模拟器可以产生如下波形,第一位代码代表如下波形 1、正常的心电波形 2、正负三角波形 注意: 1、本模拟器上电后自动产生波形1的正常心电波形。 2、模拟器的LED显示管,当5秒内没有操作按键时,将自动关闭显示,以便节电。按任意按键则触发再次显示。 按键说明 一共有四个按键,依次为选择键、增加键、减小键、确认键,另外还有一组组合键 选择键: 此按键用来选择要改变的参数,共有4个LED管来显示4个代码,分别代表显示的内容, 1代表波形代码,2-4代表要更改的参数(2是数值的百位,3代表十位,4代表个位) LED管右下脚的亮点,表示现在选择的内容;可以进行更改。 增加键: 当使用选择键选择好更改内容后,使用此键进行参数更改。 减小键: 当使用选择键选择好更改内容后,使用此键进行参数更改。 确认键: 当参数更改完毕后,此键确认后将确认参数的更改,并产生相应的波形。

实验1 DDS信号源实验

班级通信1403学号201409732姓名裴振启指导教师邵军花日期 实验1 DDS信号源实验 一、实验目的 1.了解DDS信号源的组成及工作原理; 2.掌握DDS信号源使用方法; 3.掌握DDS信号源各种输出信号的测试。 二、实验仪器 1.DDS信号源(位于大底板左侧,实物图片如下) 2.频率计1台 3. 20M双踪示波器1台 4.低频信号发生器 1台 三、实验原理 直接数字频率合成(DDS—Digital Direct Frequency Synthesis),是一种全数字化的频率合成器,由相位累加器、波形ROM、D/A转换器和低通滤波器构成。时钟频率给定后,输出信号的频率取决于频率控制字,频率分辨率取决于累加器位数,相位分辨率取决于ROM 的地址线位数,幅度量化噪声取决于ROM的数据位字长和D/A转换器位数。 DDS信号源模块硬件上由cortex-m3内核的ARM芯片(STM32)和外围电路构成。在 该模块中,我们用到STM32芯片的一路AD采集(对应插孔调制输入)和两路DAC输出(分别对应插孔P03、P04)。PWM信号由STM32时钟配置PWM模式输出,调幅、调频信号通过向STM32 写入相应的采样点数组,由时钟触发两路DAC同步循环分别输出其已 调信号与载波信号。对于外加信号的AM调制,由STM32的AD对外加音频信号进行采样,在时钟触发下当前采样值与载波信号数组的相应值进行相应算法处理,并将该值保存输出到DAC,然后循环进行这个过程,就实现了对外部音频信号的AM调制。 RZ8681 D实验箱的DDS信号源能够输出脉宽调制波(PWM)、正弦波、三角波、方波、扫频信号、调幅波(AM)、双边带(DSB)、调频波(FM)及对外部输入信号进行 AM调制输出。 四、各测量点的作用 调制输入:外部调制信号输入铆孔(注意铆孔下面标注的箭头方向。若箭头背离铆孔, 说明此铆孔点为信号输出孔;若箭头指向铆孔,说明此铆孔点为信号输入孔)。 P03:DDS各种信号输出铆孔。 P04:20KHZ载波输出铆孔。 P09:抽样脉冲输出铆孔。 SS01:复合式按键旋纽,按键用来选择输出信号状态;旋纽用来改变信号频率。 LCD:显示输出信号的频率。

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

数字信号发生器

第1章摘要 MATLAB是一个数据分析和处理功能十分强大的工程实用软件,具有很多工具箱,他的数据采集工具箱为实现数据的输入和输出提供了十分方便的函数和命令,以及数字信号处理工具箱使在数字信号处理方面方便实用。数字信号发生器是一种基于软硬件实现的波形发生器,可以实现各种基本波形的产生。由于工程中各种复杂的信号是由这些基本信号叠加而成的,而这些简单信号都可以有数字信号发生器来实现,在工程分析和实验教学中广泛实用,所以设计一种简单而实用的数字信号发生器很有必要。 在本文中将介绍用matlab设计一个简单的信号发生器的基本流程,详细的介绍设计的技术路线和实现方法以及存在的问题。 关键词:Matlab,数字信号发生器

Abstract MATLAB is an very powerful and practical software in data analysis and processing in engineering, it contains many toolboxes such as data acquisition toolbox and data processing toolbox. It's data acquisition toolbox provide many very convenient functions and commands for the input and output of data. Digital signal generator is a software and hardware based waveform generator, can produce a variety of basic waveform. In engineering, many complex signals are combined with this basic waveform, so it is necessary to develop a digital signal generator for teaching and experiment use. In this article, I will introduced how to design a simple signal generator in details. I will also introduce the technology route and my problems. Keywords: Matlab, Digital Signal Generator

相关文档
最新文档