维持阻塞D触发器电路结构及工作原理描述

维持阻塞D触发器电路结构及工作原理描述
维持阻塞D触发器电路结构及工作原理描述

维持阻塞D 触发器电路结构及工作原理描述

边沿触发器只是在CP 的某一边沿(上升沿或下降沿)时刻才能对所作用的输入信号产生响应,即只有在CP 边沿时输入信号才有效(输出状态与输入有关),而其他时间触发器都处于保持状态。可见,这种触发器不会有空翻现象,并且抗干扰能力增强,工作更可靠。边沿触发器有上升沿触发和下降沿触发两种。啊

1.维持阻塞D 触发器 (1)电路结构

电路由六个与非门组成。其中G 1、G 2组成基本RS 触发器,G 3、G 6组成控制门。引入置1维持线L 1、置0维持线L 3、置1阻塞线L 4、置0阻塞线L 2。D 为输入信号。

图8.28 维持阻塞D 触发器

(2)功能分析

在CP =0时,G 3、G 4门被封锁,输入信号D 的状态虽然能反映到G 5、G 6门的输出端,但不能作用到G 3、G 4门上,触发器状态保持不变。

若在CP 上升沿到来前D =0,因G 3、G 4门被封锁,使Q 3=1、Q 4=1、Q 6=1、Q 5=0。此时D 不能通过G 3、G 4门反映到触发器上而是在此等待。当CP 上升沿到来,Q 5=0作用到G 3门上,使G 3门被封锁,使Q 3保持不变。Q 6=1作用到G 4门上,使G 4门打开,Q 4翻转为

Q

Q

D

CP

(a )

L 1

(b )

0,使触发器输出Q=0、Q=1。无论CP上升沿到来前触发器状态如何,只要D=0,但CP 上升沿到来后,触发器状态变为0。同时Q4=0通过置0维持线L3反馈到G6门的输入端,将G6门封锁,即在CP=1期间,无论D如何变化,触发器状态保持0不变。

若在CP上升沿到来前D=1,因G3、G4门被封锁,使Q3=1、Q4=1、Q6=0、Q5=1。此时Q6、Q5的状态不能通过G3、G4门反映到触发器上。触发器保持原状态。当CP上升沿到来,Q6、Q5的状态反映到触发器上,Q6=0,G4门被封锁,使Q4保持不变。Q3翻转为0,使触发器输出Q=1、Q=0。无论CP上升沿到来前触发器状态如何,只要D=1,CP上升沿到来后,触发器状态变为1。同时Q3=0通过置0阻塞线L2反馈到G4门的输入端,将G4门封锁,通过置1维持线L1反馈到G5门的输入端,将G5门封锁,即在CP=1期间,无论D 如何变化,触发器状态保持1不变。

例:已知维持阻塞D触发器的CP和D的波形,画出触发器Q的波形。初始状态为0。

CP

D

Q

图8.29 例题

2.利用传输延迟时间的边沿JK触发器

(1)电路结构

电路由两个与或非门G1、G2、G3和G4、G5、G6组成基本RS触发器,两个与非门G7、G8构成输入控制门。如图8.30所示。

图8.30 具有传输延迟时间的边沿JK 触发器

(2)功能分析

因时钟脉冲CP 接在G 2、G 5、G 7、G 8门的输入端,而G 7、G 8门输入端的信号需经一级与非门传输延迟后才能到达G 3、G 6门输入端。因此,在CP 上升沿或下降沿到来的瞬间,CP 对G 2、G 5门的影响要先于对G 3、G 6门的影响。这种结构的边沿触发器正是利用G 7、G 8门的传输延迟时间使触发器具有下降沿触发特性。

设触发器初始状态为0,输入JK =10。

在CP =0期间,因CP 为低电平,G 7、G 8门输出为1,J 、K 输入端信号对触发器输出没有影响。

在CP 由0变为1瞬间,CP 一方面直接作用于G 2、G 5,使G 2输出由0变为1,G 5输出仍为0,另一方面CP 作用在G 7、G 8门上,由于G 7、G 8门的传输延迟时间较长,在CP 为1瞬间,G 7、G 8的状态还不能改变,所以触发器保持原来状态。

在CP =1期间,最初因Q =0,封锁了G 8,使输入端信号K 对触发器输出没有影响,Q =1。而Q =1反馈给G 2、G 3和G 7,使G 2输出仍为1,触发器保持0状态。当经过一个与非门的传输时间后,G 7状态变为0,使G 3的状态也变为0。但由于G 2输出仍为1,G 3状态的变化并不影响G 4,使触发器继续保持原来0状态不变。

当CP 由1变为0时,G 2输出由1变为0,于是触发器状态Q 便由G 3状态决定。由于

CP

(a )

Q

G 1 G 2

Q

G 5

G 4 J

K

(b )

G2、G3输出为0,所以G1的输出Q由0变为1,而Q=1又反馈给G5、G6和G8,使G6输出为1,G4输出Q由1变为0。

具有传输延迟时间的边沿JK触发器,其状态的翻转仅取决于CP下降沿到来前一刻的JK值。可克服空翻、抗干扰能力强,使用方便。

例4-3 已知具有传输延迟时间的边沿JK触发器的输入信号如图4-21所示,设触发器的初始状态为0。试画出触发器输出的波形图。

解:

CP

J

K

Q

图8.31 例题

图中边沿D、JK触发器的逻辑符号,在C1的一端加动态符号“>”表示为边沿触发器,并且为上升沿触发,如果在“>”处又带小圆圈“○”则表示为下降沿触发。

3.CMOS主从结构的边沿D触发器

(1)电路结构

图8.32为CMOS主从结构的边沿D触发器,传输门TG1、TG2和非门G1、G2组成主触发器,传输门TG3、TG4和非门G3、G4组成从触发器,传输门的控制端由一对互补的时钟脉冲控制。具有边沿触发器的特性。

(2)功能分析

在CP变为1时,CP变为0。这时TG1导通,TG2截止。主触发器接收输入端D的信号,经TG1传到主触发器的输出端。同时TG3关闭,切断了两个触发器间的联系。TG4导通,从触发器保持原来状态。

当CP由1变为0时,CP变为1,这时TG1截止,切断主触发器与输入端D的联系,TG2导通,将G1的输入端与G2的输出端连通,使主触发器保持原来状态不变。同时TG3导通,TG4截止,将主触发器的状态送入从触发器,使Q n+1=D。

这是一个CP下降沿触发的边沿触发器。若将CP和CP互换,可使触发器变为上升沿

触发。

图8.32 CMOS 主从结构的边沿D 触发器

D

CP

主触发器

从触发器

触发器逻辑功能测试及应用

实验六触发器逻辑功能测试及应用 一、实验目的: 1、掌握基本RS、JK、D、T与T′触发器的逻辑功能; 2、学会验证集成触发器的逻辑功能及使用方法; 3、熟悉触发器之间相互转换的方法。 二、实验原理: 触发器:根据触发器的逻辑功能的不同,又可分为: 三、实验仪器与器件: 实验仪器设备:D2H+型数字电路实验箱。 集成块:74LS112 74LS74 74LS04 74LS08 74LS02 74LS86 四、实验内容与步骤: 1、基本RS触发器逻辑功能的测试: CP J K S-D R-D 下降沿0 0 1 1 0 0 下降沿0 1 1 1 0 0 下降沿 1 0 1 1 0 1 下降沿 1 1 1 1 1 0 3、D触发器逻辑功能测试: D CP S-D R-D Q X X 0 1 0 X X 1 0 1 (2)D触发器逻辑功能测试: CP J K D S D R Q ×××0 1 0 ××× 1 0 1

D CP S-D R-D 0 上升沿 1 1 1 0 1 上升沿 1 1 0 1 4、不同类型时钟触发器间的转换: JK转换为D触发器: J D K D Q D DQ Q Q D D Q Q K Q J Q n n n n n n n n = = + = + = = + = + + ; ) ( 1 1 D转换为JK 触发器: n n n n n n Q J Q K D D Q Q K Q J Q = = = + = + + 1 1 JK转换为T触发器: K J T Q T Q T Q n n n = = + = +1 T转换为JK触发器: JK转换为RS触发器:RS转换为JK触发器: 五、实验体会与要求: 1、根据实验结果,写出各个触发器的真值表。 2、试比较各个触发器有何不同? 3、写出不同类型时钟触发器间的转换过程。 1

触发器的功能测试及应用 建筑电气

实验七 触发器的功能测试及应用 一、实验目的 (1)通过实验验证J —K 触发器和D 触发器的逻辑功能,从而加深对触发器工作原理的理解; (2)掌握用触发器组成二进制加、减法计数器的方法。 二、预习要求 (1)复习J —K 触发器和D 触发器的工作原理; (2)熟悉CT74LS112双J —K 触发器和CT74LS74双D 触发器的逻辑功能、逻辑符号和外引线排列; (3)认清触发器的功能表,掌握上升沿和下降沿触发有什么不同; (4)复习用触发器组成异步二进制加减计数器的工作原理。 三、实验原理及参考电路 触发器是具有记忆功能的基本逻辑单元,其种类很多,本实验采用逻辑功能较全、用途 和置0端D R 都为低电平有效,且与CP 端状态无关,触发器处于工作状态时,D S 和D R 必须都接高电平。JK 触发器利用CP 的下降沿触发,D 触发器利用CP 的上升沿触发。

四、实验内容和步骤 1.验证JK 触发器的逻辑功能 将CT74LS112集成块插入实验箱的集成电路底座上,认清有关插线柱和电路外引线的对应关系。 将双JK 触发器中一个触发器的D S 、D R 、J 、K 输入端分别接实验箱的逻辑开关,CP 端接单次脉冲,Q 、Q 接发光二极管。检查无误后接通5V 直流电源,并按表2-7-1逐项验证JK 触发器的功能。 2.验证D 触发器的逻辑功能 将CT74LS74集成块插入实验箱的集成电路底座上,将其中一个触发器的D S 、D R 、D 输入端分别接实验箱的逻辑开关,CP 端接单次脉冲,Q 、Q 接发光二极管。检查无误后接通5V 直流电源,并按表2-7-2逐项验证D 触发器的功能。

触发器教案(一)

睢宁县职业教育中心教师项目课程教案 授课班级计算机专业计算机授课教师 授课时间编号课时2课时授课名称触发器的概述、基本形式 使用教具 授课目标能力目标能利用所学的触发器功能画出Q 的输出波形 知识目标 1 掌握基本RS触发器的电路结构、工作原理、逻辑功能。 2 掌握同步RS触发器的工作原理、逻辑功能。 3 掌握触发器逻辑功能的表示方法。 情感目标提高学生的参与意识,培养学生良好的学习习惯 教学重点基本概念要正确建立;基本RS触发器的逻辑功能、触发方式。 教学难点 现态、次态、不定状态的正确理解。 课后阅读课后阅读课本 课外作业 与操作课本P 教学后记 本节内容较多、较难,也是本章的基础知识点,学生掌握较容易,运用较熟练。

教学环节 教师 活动学生活动 复习 简单逻辑门电路的逻辑口诀 新课导入 教学内容: 触发器的概述、基本形式 一、触发器的基础知识 1、触发器:具有记忆功能的基本逻辑电路,能存储一位二进制信息 (数字信息)。 2、基本特性: (1)有两个稳态,可分别表示二进制数码0和1,无外触发时可维 持稳态; (2)外触发下,两个稳态可相互转换(称翻转),已转换的稳定状 态可长期保持下来,这就使得触发器能够记忆二进制信息,常用作 二进制存储单元。 4、、触发器的逻辑功能描述: 特性表、激励表(又称驱动表)、特性方程、状态转换图和波形图 (又称时序图) 5、触发器的分类:根据 逻辑功能不同:RS触发器、D触发器、JK触发器、T触发器和触发 器等。 触发方式不同:电平触发器、边沿触发器和主从触发器等。 提问

电路结构不同:基本RS触发器,同步触发器、维持阻塞触发器、主从触发器和边沿触发器等。 二、触发器的基本形式 2.1 基本RS触发器 一、由与非门组成的基本RS触发器 1.电路结构 电路组成:两个与非门输入和输出交叉耦合(反馈延时)。如图4.2.1(a)所示。 逻辑符号:图(b)所示。 2.逻辑功能

集成触发器及其应用电路设计

华中科技大学 电子线路设计、测试与实验》实验报告 实验名称:集成运算放大器的基本应用 院(系):自动化学院 地点:南一楼东306 实验成绩: 指导教师:汪小燕 2014 年6 月7 日

、实验目的 1)了解触发器的逻辑功能及相互转换的方法。 2)掌握集成JK 触发器逻辑功能的测试方法。 3)学习用JK 触发器构成简单时序逻辑电路的方法。 4)熟悉用双踪示波器测量多个波形的方法。 (5)学习用Verliog HDL描述简单时序逻辑电路的方法,以及EDA技术 、实验元器件及条件 双JK 触发器CC4027 2 片; 四2 输入与非门CC4011 2 片; 三3 输入与非门CC4023 1 片; 计算机、MAX+PLUSII 10.2集成开发环境、可编程器件实验板及专用电缆 三、预习要求 (1)复习触发器的基本类型及其逻辑功能。 (2)掌握D触发器和JK触发器的真值表及JK触发器转化成D触发器、T触发器、T 触发器的基本方法。 (3)按硬件电路实验内容(4)(5),分别设计同步3 分频电路和同步模4 可逆计数器电路。 四、硬件电路实验内容 (1)验证JK触发器的逻辑功能。 (2)将JK触发器转换成T触发器和D触发器,并验证其功能。 (3)将两个JK触发器连接起来,即第二个JK触发器的J、K端连接在一起, 接到第一个JK触发器的输出端Q两个JK触发器的时钟端CP接在一起,并输入1kHz 正方波,用示波器分别观察和记录CP Q、Q的波形(注意它们之间的时序关系),理解2分频、4分频的概念。 (4)根据给定的器件,设计一个同步3分频电路,其输出波形如图所示。然后组装电路,并用示波器观察和记录CP Q、Q的波形。 (5)根据给定器件,设计一个可逆的同步模4 计数器,其框图如图所示。图中,M为控制变量,当M=0时,进行递增计数,当M=1时,进行递减计数;Q、 Q为计数器的状态输出,Z为进位或借位信号。然后组装电路,并测试电路的输入、输出

题514已知维持阻塞结构d触发器各输入端的电压波形

【题5.14】已知维持阻塞结构D触发器各输入端的电压波形如图P5.14所示,试画出Q、Q'端对 应的电压波形。 解:根据D触发器逻辑功能的定义及维持阻塞结构所具有的边沿触发方式,即可画出Q和Q'的 电压波形如图A5.14. 【题5.15】已知CMOS边沿触发方式JK触发器各输入端的电压波形如图P5.15所示,端对应

的电压波形。'Q、Q试画出 解:根据JK触发器逻辑功能的定义和边沿触发方式的动作特点,画出的Q、Q'端电压波形如图 A5.15。 【题5.16】在脉冲触发T触发器中,已知T、CLK端的电压波形如图P5.16所示,试画出Q、Q'端对应的电压波形。设触发器的初始状态为Q=0。

解:根据T触发器逻辑功能的定义以及脉冲触发方式的动作特点,画出的Q、Q'端电。A5.16. 压波形如图 【题5.17】在图P5.17的主从结构JK触发器电路中,已知CLK和输入信号T的电压波形如图所示,试画出触发器输出端Q和Q'的电压波形。设触发器的起始状态为Q=0。 解:将JK触发器的J和K接在一起作为输入端,就得到了T触发器。按照T触发器的逻辑功能和脉冲触发方式的动作特点,就到得到了图A5.17中Q、Q'端的电压波形。 【题5.18】设图P5.18各触发器的初始状态皆为Q=0,试画出在CLK信号连续作用下各触发器

输出端的电压波 形。. 。A5.18的电压波形,如图Q解:根据每个触发器的逻辑功能和触发方式,画出输出端

【题5.19】试写出图P5.19(a)中各电路的次态函数(即Q*、Q*、Q*、Q*与现态4231和输入变量之间的函数式),并画出在图P5.19(b)给定信号的作用下Q、Q、Q、Q的4132电压波形。假定各触发器的初始状态均为Q=0。

数电实验触发器及其应用

数电实验触发器及其应用 数字电子技术实验报告 实验三: 触发器及其应用 一、实验目的: 1、熟悉基本RS触发器,D触发器的功能测试。 2、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点 3、熟悉触发器的实际应用。 二、实验设备: 1 、数字电路实验箱; 2、数字双综示波器; 3、指示灯; 4、74LS00、74LS74。 三、实验原理: 1、触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序 电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“ 1 ”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路(主要是“与非门” )组成的触发器。 按其功能可分为有RS触发器、JK触发器、D触发器、T功能等触发器。触发方式有电平触发和边沿触发两种。 2、基本RS触发器是最基本的触发器,可由两个与非门交叉耦合构成。 基本RS触发器具有置“ 0”、置“ 1”和“保持”三种功能。基本RS触发器

也可以用二个“或非门”组成,此时为高电平触发有效。 3、D触发器在CP的前沿发生翻转,触发器的次态取决于CP脉冲上升沿n+1来到之前D端的状态,即Q = D。因此,它具有置“ 0”和“T两种功能。由于在CP=1期间电路具有阻塞作用,在CP=1期间,D端数据结构变RS化,不会影响触发器的输出状态。和分别是置“ 0”端和置“ 1” DD 端,不需要强迫置“ 0”和置“ 1”时,都应是高电平。74LS74(CC4013, 74LS74(CC4042均为上升沿触发器。以下为74LS74的引脚图和逻辑图。 馬LD 1CP 1云IQ LQ GM) 四、实验原理图和实验结果: 设计实验: 1、一个水塔液位显示控制示意图,虚线表示水位。传感器A、B被水浸沿时

第5章基本RS触发器

第5章基本RS触发器 5.同步触发器(同步RS触发器) 目的与要求: 1 掌握时序电路的定义、分类、触发器的特点。 2 掌握基本RS触发器的电路结构、工作原理、逻辑功能。 3 掌握同步RS触发器的工作原理、逻辑功能。 4 掌握触发器逻辑功能的表示方法。 5 掌握时序电路的一些基本概念。 重点与难点:1 基本概念要正确建立。难点:现态、次态、不定状态的正确理解。 2 基本RS触发器的逻辑功能、触发方式。 5.1概述 一、触发器的概念 复习:组合电路的定义?构成其电路的门电路有何特点?组合电路与时序电路的区别? 门电路:在某一时刻的输出信号完全取决于该时刻的输入信号,没有记忆作用。 触发器:具有记忆功能的基本逻辑电路,能存储二进制信息(数字信息)。 触发器有三个基本特性: (1)有两个稳态,可分别表示二进制数码0和1,无外触发时可维持稳态; (2)外触发下,两个稳态可相互转换(称翻转),已转换的稳定状态可长期保持下来,这就使得触发器能够记忆二进制信息,常用作二进制存储单元。 (3)有两个互补输出端,分别用Q和Q 二、触发器的逻辑功能描述: 特性表、激励表(又称驱动表)、特性方程、状态转换图和波形图(又称时序图) 三、触发器的分类:根据 逻辑功能不同:RS触发器、D触发器、JK触发器、T触发器和触发器等。 触发方式不同:电平触发器、边沿触发器和主从触发器等。 电路结构不同:基本RS触发器,同步触发器、维持阻塞触发器、主从触发器和边沿触发器等。 5.2 触发器的基本形式 5.2.1 基本RS触发器 一、由与非门组成的基本RS触发器 1.电路结构 电路组成:两个与非门输入和输出交叉耦合(反馈延时)。逻辑图如图(a)所示。 逻辑符号如图(b)所示。

数字电路 触发器的功能测试实验报告

肇 庆 学 院 电子信息与机电工程 学院 数字电路 课 实验报告 12电气(1) 班 姓名 李俊杰 学号 201224122119 实验日期2014年5 月19 日 实验合作者:王圆圆 老师评定 实验题目:触发器的功能测试 一、实验目的 (一)掌握基本RS 触发器的功能测试。 (二)掌握集成触发器的电路组成形式及其功能。 (三)熟悉时钟触发器不同逻辑功能之间的相互转换。 (四)认识触发器构成的脉冲分频电路。 二、实验仪器: DZX-1型电子学综合实验装置 UT52万用表 GDS-806S 双踪示波器 74LS00 74LS74 74LS76 三、实验内容&数据分析 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一种具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。第一步,将触发器74LS74、74LS76引出端排列图和状态表画在实验报告上。(注:此项内容必须在进实验室前完成。) (一)测试基本RS 触发器的逻辑功能 用两个与非门组成基本RS 触发器如图4-1,输入端R ,S 接逻辑电平开关输出插口,输出端Q 、Q 接逻辑电平显示插口,按表4-1要求测试。 表4-1 基本RS 触发器特性表(输入低电平有效) 图4-1 由74ls00连接成的基本RS 触发器 测试集成双JK 触发器74LS76的逻辑功能 1、测试D R 、 D S 端的复位、置位功能

74LS76逻辑符号如图4-2,对照其插脚(查阅附录B )取其中一JK 触发器,D R 、 D S 、J 、K 端分别接逻辑电平开关输出插口,CP 接单次脉冲源(正脉冲),Q 、Q 接至逻辑电平显示输入插口。要求在D R =0, D S =1以及 D S =0,D R =1时任意改变J 、K 及CP 的状态用“ⅹ”符 号表示,观测Q 、Q 状态。 图4-2 74LS76管脚排列 2、测试触发器的逻辑功能 按表4-2的要求改变J 、K 、CP 端状态,记录Q 的状态变化,观察触发器状态的更新发生在CP 脉冲(单脉冲)的上降沿还是下降沿?(注意D R 、D S 端的电平接法) 表4-3 集成双JK 触发器74LS76特性表2 图4-2 JK 触发器逻辑符号 3、JK 触发器的J 、K 端连在一起,构成T ’触发器。 在CP 端输入1MHZ 连续脉冲,用双踪示波器观察CP 、Q 端的波形,注意相位与时间的关系。

基本触发器功能验证实验

基本触发器功能验证实验预习参考 (注意:所有表格均可用状态方程提前填好) 1、 R S 触发器 图1-5-1基本RS 触发器的原理图,公式(1-5-1)是RS 触发器的状态方程。 n n n n RQ Q Q S Q ==++1 1 (1-5-1 ) 图1-3-3基本RS 触发器 表1-5-1 R S Q (V ) Q (V ) 触发器状态 0 1 1 0 1 1 0 0 1 1

图1-3-4基本RS 触发器实验连线图 2、D 触发器 图1-5-2基本RS 触发器的原理图,公式(1-5-2)是D 触发器的状态方程。 D Q n =+1 (1-4-2) (CP 上升沿有效) 图1-3-5 D 触发器IC 引脚图 表1-5-2 测试D 触发器置位、复位功能 CP D D R D S 1+n Q (V ) 1+n Q (V ) Q 状态 ф ф 1 ф ф 1 0

表1-5-3 D触发器同步功能测试 Qn 0 0 1 1 D 0 1 0 1 CP 0 ?0 ?0 ?0 ?Qn+1 图1-3-6 D触发器实验测试图

图1-3-7 D触发器实验测试图 3、JK触发器 图1-5-3JK触发器的原理图,公式(1-4-3)是JK触发器的状态方程。 +1(1-5-3) n Q n n = Q+ Q K J (CP下降沿有效) 图1-3-8 JK触发器的原理图

表1-5-4测试JK触发器逻辑功能 CP ? ? ? ?J 0 0 0 0 1 1 1 1 K 0 0 1 1 0 0 1 1 Qn 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Qn+1 图1-3-9 JK触发器原理测试图

触发器及其应用实验报告 - 图文-

实验报告 一、实验目的和任务 1. 掌握基本RS、JK、T和D触发器的逻辑功能。 2. 掌握集成触发器的功能和使用方法。 3. 熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态"1"和"0飞在二定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉祸合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。 基本RS触发器具有置"0"、置"1"和保持三种功能。通常称s为置"1"端,因为 s=0时触发器被置"1"; R为置"0"端,因为R=0时触发器被置"0"。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

基本RS触发器也可以用两个"或非门"组成,此时为高电平有效。 S Q S Q Q 卫R Q (a(b 图14-1 二与非门组成的基本RS触发器 (a逻辑图(b逻辑符号 基本RS触发器的逻辑符号见图14-1(b,二输入端的边框外侧都画有小圆圈,这是因为置1与置。都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: Q,,+1=J Q"+K Q 3 5

J Q CLK K B Q 图14-2JK触发器的引脚逻辑图 其中,J和IK是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成"与"的关系。Q和Q为两个互补输入端。通常把Q=O、Q=1的状态定为触发器"0"状态;而把Q=l,Q=0 定为"}"状态。 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S端为高电平

【题5.14】已知维持阻塞结构d触发器各输入端的电压波形

【题5.14]已知维持阻塞结构 D 触发器各输入端的电压波形如图 P5.14所示,试画出Q 、 Q'端对应的电压波形。 解:根据D 触发器逻辑功能的定义及维持阻塞结构所具有的边沿触发方式,即可画出 Q 和Q'的电压波形如图 A5.14. 1 I I ■ I I i I t I I 1 — 1—r-t —t —1- > l 1 I E 1 "1"TH 1 1 rir I i ■ 1 II J 1 J 1 I i 1 1 i 1 1 1 E ) 1 1 1 ± 1 1 1 1 1 * h 1 1 1 1 1 1 1 1 1 1 1 丄 i I 1 1 1 —1—1 -T 1~I - Illi* L J J : £ i j I I 1 1 i- i i 1 vi i 1 1 1 1 1 ( l 1 1 1 1 1 ―LU__1_1 ..I J- 1 【题5.15】已知CMOS P5.15所示, 试画出Q 、Q'端对应的电压波形。 團心一 14

解:根据JK 触发器逻辑功能的定义和边沿触发方式的动作特点,画出的 Q 、Q'端电压 波形如图A5.15 。 【题5.16】在脉冲触发T 触发器中,已知T 、CLK 端的电压波形如图 P5.16所示,试画 出Q 、Q '端对应的电压波形。设触发器的初始状态为 Q=0。 订 rm i :: at 1 1 1 1 1 J 1 1 i 1 1 1 1 I i. k r ]L . 1 it ri 1—L 1 '■ g. 干―t 厂 1 1 1 - f 1 - i I I ;rH 1 1 ―if ---- — 1 1 r ⑺ 『i 1 || 1 1 ■ 丨 1 0 !; 1 1 -1-1 1 1 1 1 li I i -4 4— 1 f 解:根据T 触发器逻辑功能的定义以及脉冲触发方式的动作特点,画出的 Q 、Q'端电 压波形如图A5.16.。 Hi PS “ 捌 F5 J6

基本RS触发器逻辑功能测试

实训九基本R-S触发器功能测试 一、实训目的 1.通过实训熟悉基本RS触发器的逻辑功能与特点; 2.通过实训掌握基本RS触发器的测试方法; 3.通过实训熟悉异步输入信号RD、SD、RD、SD的作用; 4.通过实训掌握基本RS触发器的典型应用; 二、实训原理 基本RS触发器就是由两个与非门交叉耦合组成,它就是最基本的触发器,也就是构成其它复杂触发器电路的一个组成部分。当R D=S D=1时,两个与非门的工作都尤如非门,Q接至与非门G2的输入,使G2输出为Q;Q接至与非门G1的输入,使G1的输出为Q。从而使触发器维持输出状态不变。 三、实训仪器与设备 S303-4型(或其它型号)数字电路实训箱一只; SR8(或其它型号)双踪示波器一只; 直流稳压电源一台; 74LS00 二输入四与非门1片。 四、实训内容与步骤 1.两个TTL与非门首尾相接构成的基本R-S触发器的电路如图7-2-1所示逻辑电路。为 图9-1 基本R-S触发器功能测试 2.按表9-1所示的顺序在Sd、Rd两端信号,观察并记录R-S触发器Q端的状态,并将结果填入表9-1中 表9-1 3.Sd 4.Sd端接高电平,Rd端加脉冲。

5.令Sd=Rd,在Sd端加脉冲。 6.记录并观察2、3、4三种情况下,Q,Q n+1端的状态。从中总结基本R-S触发器的Q端的状态改变与输入端的关系。 五、实训思考题 试根据基本R-S触发器给定的输入信号波形画出与之对应的输出端的波形; 试写出基本R-S触发器的约束方程,并说明哪个就是复位端、哪个就是置位端? 六、训注意事项 接线时要注意电路图中各引脚的编号,连接时不要接错; 手动施加0、1输入电平时要注意开关动作的稳定性与可靠性,要避免开关的抖动; 用双踪示波器观察输出波形时,要注意选择一个较为合适的输入信号的频率。 实训十、计数器的功能测试 一、实训目的 1.掌握计数器的工作原理; 2.通过实训熟悉计数器的功能特点与典型应用; 3.通过实训掌握如何利用现有集成计数器来构成N进制计数器的方法。 二、实训原理 计数器就是一种含有若干个触发器、并按预定顺序改变各触发器的状态来累计输入脉冲个数的数字电路,被广泛应用于定时、分频及各种数字电路中。用JK触发器设计一个四位异步二进制加法器。CP接低频连续脉冲,输出接指示灯。观察指示灯的变化规律,写出状态图。 三、实训仪器与设备 1.S303-4型(或其它型号)数字电路实训箱一只; 2.SR8(或其它型号)双踪示波器一只; 3.直流稳压电源一台; 4.74LS00 二输入四与非门1片; 5.74LS160 十进制计数器1片; 6.74LS74 双D触发器2片; 7.74LS49 4线-七段译码器1片。 四、实训内容与步骤 六进制计数器,图10-1就是用74LS160实现六进制计数器的参考电路。当 Q3Q2Q1Q0=0111时,经过与非门所产生的零脉冲迅速使计数器清零,之后在输入CP脉冲的作用下,依次输出0000→0001→0010→0011→0100→0101→0000。输入低频连续脉冲,观察数码管的显示结果。

触发器功能测试实验报告 031210434

触发器功能测试 031210425 刘思何 一.实验目的 1.了解时钟脉冲的触发作用 2.掌握基本RS、JK、D触发器的逻辑功能、编写和使用 3.理解触发器所实现的状态转换功能 二.实验器件 开发板、计算机、vivado软件 三.实验内容 1.基本RS触发器的编写,验证并且生成IP核。连接电路图,在R,S两引脚输入不同的电平,测试输出端电平。 module rs_ff10( input s_n, input r_n, output q ); reg q; always@* begin case({s_n,r_n}) 2'b00 : q=1'bx; 2'b01 : q=1'b1; 2'b10 : q=1'b0; 2'b11 : q=q; endcase end endmodule 2.JK触发器的编写,验证并且生成IP 核。 module jk_ff10( input clk, output q, output q_n, input j, input k ); reg q; always@(posedge clk) begin case({j,k}) 2'b00 : q<=q; 2'b01 : q<=1'b0; 2'b10 : q<=1'b1; 2'b11 : q<=~q; default : q<=1'bx; endcase end assign q_n=~q; endmodule

先将s_n、r_n置于10或01状态,然后将其置于11状态,给j、k一个初始激励信号,随后一上一下拨动s_n、r_n的开关,输入一个时钟信号,观察q、q_n灯的亮灭情况。 3.D触发器的编写,验证 如JK触发器一样进行验证。 四.实验数据及分析 R触发器 V16 (s_n)V17 (r_n) U16 0 0 不定 0 1 暗 1 0 亮 1 1 保持上个状态

触发器逻辑功能测试及应用

实验六 触发器逻辑功能测试及应用 一、 实验目的: 1、 掌握基本RS JK 、D T 和T 触发器的逻辑功能 2、 学会验证集成触发器的逻辑功能及使用方法; 3、 熟悉触发器之间相互转换的方法。 二、 实验原理: 触发器:根据触发器的逻辑功能的不同,又可分为 三、 实验仪器与器件: 实验仪器设备:D2H +型数字电路实验箱。 集成块:74LS112 74LS74 74LS04 74LS08 四、 实验内容与步骤: 1、基本RS 虫发器逻辑功能的测试: CP J K S D R D Q n 下降沿 0 0 1 1 0 0 下降沿 0 1 1 1 0 0 下降沿 — 1 0 1 1 0 1 下降沿 1 1 1 1 1 3、D 触发器逻辑功能测试: D CP 3 R Q X X 0 1 0 X X 1 1 (2) D 触发器逻辑功能测试: Jh h W 5J I/II -皿: -- LL-LR'W LK 富 CP J K S D R D Q X X X 0 1 0 X X X 1 1 74LS02 74LS86

1 D CP S R? Q n | 上升沿 1 1 1 0 1 上升沿 1 1 o 1 4、不同类型时钟触发器间的转换: JK 转换为D 触发器: T 转换为JK 触发器: JK 转换为RS 触发器:RS 专换为JK 触发器: 五、实验体会与要求: 1 、根据实验结果,写出各个触发器的真值表。 2、 试比较各个触发器有何不同? 3、 写出不同类型时钟触发器间的转换过程。 Q n 1 JQ n KQ n Q n 1 D D KQ n JQ n Q Q > □' JK 转换为T 触发器: Q n 1 TQ T J K TQ n Q n 1 jQ n KQ n n 1 ___ n n n __ n Q DD(Q Q)DQ DQ D K; D J D 转换为JK 触发器: '_|B U 匚 K J P 口n n 111-1 最 |<存,1丘 1|」 _|」己尸 尺 .」 》匚 匸匚 L 丄 号=」 rl XJIl nil JL _____

维持阻塞D触发器电路结构及工作原理描述

维持阻塞D 触发器电路结构及工作原理描述 边沿触发器只是在CP 的某一边沿(上升沿或下降沿)时刻才能对所作用的输入信号产生响应,即只有在CP 边沿时输入信号才有效(输出状态与输入有关),而其他时间触发器都处于保持状态。可见,这种触发器不会有空翻现象,并且抗干扰能力增强,工作更可靠。边沿触发器有上升沿触发和下降沿触发两种。啊 1.维持阻塞D 触发器 (1)电路结构 电路由六个与非门组成。其中G 1、G 2组成基本RS 触发器,G 3、G 6组成控制门。引入置1维持线L 1、置0维持线L 3、置1阻塞线L 4、置0阻塞线L 2。D 为输入信号。 图8.28 维持阻塞D 触发器 (2)功能分析 在CP =0时,G 3、G 4门被封锁,输入信号D 的状态虽然能反映到G 5、G 6门的输出端,但不能作用到G 3、G 4门上,触发器状态保持不变。 若在CP 上升沿到来前D =0,因G 3、G 4门被封锁,使Q 3=1、Q 4=1、Q 6=1、Q 5=0。此时D 不能通过G 3、G 4门反映到触发器上而是在此等待。当CP 上升沿到来,Q 5=0作用到G 3门上,使G 3门被封锁,使Q 3保持不变。Q 6=1作用到G 4门上,使G 4门打开,Q 4翻转为 Q Q D CP (a ) L 1 (b )

0,使触发器输出Q=0、Q=1。无论CP上升沿到来前触发器状态如何,只要D=0,但CP 上升沿到来后,触发器状态变为0。同时Q4=0通过置0维持线L3反馈到G6门的输入端,将G6门封锁,即在CP=1期间,无论D如何变化,触发器状态保持0不变。 若在CP上升沿到来前D=1,因G3、G4门被封锁,使Q3=1、Q4=1、Q6=0、Q5=1。此时Q6、Q5的状态不能通过G3、G4门反映到触发器上。触发器保持原状态。当CP上升沿到来,Q6、Q5的状态反映到触发器上,Q6=0,G4门被封锁,使Q4保持不变。Q3翻转为0,使触发器输出Q=1、Q=0。无论CP上升沿到来前触发器状态如何,只要D=1,CP上升沿到来后,触发器状态变为1。同时Q3=0通过置0阻塞线L2反馈到G4门的输入端,将G4门封锁,通过置1维持线L1反馈到G5门的输入端,将G5门封锁,即在CP=1期间,无论D 如何变化,触发器状态保持1不变。 例:已知维持阻塞D触发器的CP和D的波形,画出触发器Q的波形。初始状态为0。 CP D Q 图8.29 例题 2.利用传输延迟时间的边沿JK触发器 (1)电路结构 电路由两个与或非门G1、G2、G3和G4、G5、G6组成基本RS触发器,两个与非门G7、G8构成输入控制门。如图8.30所示。

实训六触发器的逻辑功能测试及应用

实训七 触发器的逻辑功能测试及应用 一. 实训目的 1. 熟悉基本RS 触发器 2. 掌握RS 触发器、D 、JK 触发器的逻辑功能。 3. 了解触发器的功能转换方法,掌握集成触发器的清零和复位端的正确使用。 4. 了解JK 触发器一次翻转现象。 二. 实训仪器与设备 1.通用数字逻辑电路实验箱 2.74HC00、74HC74、74HC76、74HC112、CD4013各一块。 3.MSO 示波器、信号源各一台 三. 实训原理 触发器是时序电路的基本器件,它可以储存、记忆一位二进制数。 1.基本RS 触发器,是由两个互锁、交叉偶合的与非门(或非门)组成。 触发器的特性方程:10 +ì?=+?í ?=??n n Q S RQ RS 有不定状态(约束项)出现。 同步RS 、主从RS 触发器的特性方程都是一样的,与基本RS 触发器的不同之处就是增 加了同步脉冲控制。 2.JK 触发器,管脚见图7-2所示。 JK 触发器的特点是克服了RS 触发器不定状态的产生。在实验中可提供两种JK 触发器,注意电源管脚的不同,触发脉冲CP 沿的差异。特性方程:1+=+n n Q J Q KQ 3. D 触发器,管脚如图7-2所示。 只有一个输入端,可以是上升沿也可以是下降沿触发。在实验中可提供两种D 触发器,注意直接置0置1方式的不同。特性方程:1+=n Q D 。 表7-1 基本RS 触发器真值表 74HC00 图7-1基本RS 触发 器 图7-2 D 、JK 触发器管脚图 1Q 1~1Q 2 1CLK 31RST 41D 51SET 6VSS 7 2SET 82D 92RST 102CLK 11~2Q 12 2Q 13VDD 141D 21Q 5~1Q 6~1CLR 11CLK 3~1PR 4GND 7 ~2Q 8 2Q 9~2PR 102CLK 112D 12~2CLR 13VCC 14 ~1CLR 151J 31K 21CLK 1~1PRE 41Q 5~1Q 6GND 8 ~2Q 72Q 9 ~2PRE 102CLK 132K 122J 11~2CLR 14VCC 1674HC74 4013 74HC7674HC112

触发器的使用实验报告

实验II、触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进 制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 如图1为两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称 为置“1”段,因为=0(=1)时触发器被置为“1”;为置“0”端,因为=0 (=1)时触发器被置“0”,当==1时状态保持;==0时,触发器状态不定,应避免此种情况发生,表1为基本RS 触发器的状态表。 图1、基本RS触发器 表1、基本RS触发器功能表 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 不定不定 基本RS 2、JK触发器 在输入信号为双端的情况下,JK触发器的功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降沿出发的边沿触发器。引脚功能及逻辑符号如图2所示。

图2、74LS112双JK触发器引脚排列及逻辑符号 JK触发器的状态方程为:=J+ J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或者两个以上输入端时,组成“与”的关系。和为两个互补输出端。通常把=0,=1的状态定为触发器“0” 状态;而把=1,=0定为“1”状态。下降沿触发JK触发器功能表如表2所示。 表2、JK触发器功能表 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为=D,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D 74LS74、四D 74LS175、六D 74LS174等。 下图为双D774LS74的引脚排列及逻辑符号。功能表如表3.

实验2 触发器逻辑功能测试

实验2 触发器逻辑功能测试 一、实验目的 1、掌握基本RS触发器、D触发器、J K触发器的逻辑功能和状态变化特点。 2、掌握基本RS触发器、D触发器、J K触发器逻辑功能测试方法。 3、熟悉不同逻辑功能触发器相互转换的方法。 二、实验仪器及器件 1、实验仪器 (1)TPE-D6Ⅲ型数字电路学习机 (2)VP5220A型双踪示波器 (3)数字万用表 2、器件 (1)74LS00 四2输入与非门1片 (2)74LS74 双D触发器1片 (3)74LS112 双JK触发器 1 片 三、实验器件的逻辑功能 表2-0给出了本实验所用的基本RS触发器、维持阻塞D触发器、负边沿JK触发器的逻辑功能、触发方式及动作特点等相关知识。 表2-0 基本RS触发器、维持阻塞D触发器、负边沿JK触发器的逻辑功能、触发方式及动作特点

四、实验原理 触发器是能存储、记忆二进制信息的器件,是时序逻辑电路的基本单元。 触发器具有“0”状态和“1”状态2个稳定状态,在输入信号作用下可以置于“0”状态或“1”状态。 触发器进行状态转换时,由触发方式决定何时接收输入信号、何时改变输出状态,由逻辑功能决定输出状态改变的方向。 基本RS 触发器逻辑功能的测试原理:触发器的输入端D S 、D R 由逻辑电平开关控制输入0或1,按特性表改变各输入信号状态,用LED 发光二极管显示输出状态,从而验证状态转换关系是否符合要求即是否与特性表相符及状态转换时的动作特点。 时钟触发器置位、复位功能的测试原理:触发器的异步置位端D S 、异步复位端D R 由 逻辑电平开关控制分别输入0,输入1、CP 时钟脉冲端为任意值,用LED 发光二极管显示输出状态,从而验证异步置位、异步复位功能是否符合要求。 时钟触发器逻辑功能的测试原理:触发器的异步置位端D S 、异步复位端D R 置现态为

实验四 基本R-S触发器和D触发器

实验四 基本RS 触发器和D 触发器 一、 实验目的 1.熟悉并验证触发器的逻辑功能; 2.掌握RS 和D 触发器的使用方法和逻辑功能的测试方法。 二、实验预习要求 1.预习触发器的相关内容; 2.熟悉触发器功能测试表格。 三、实验原理 触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最基本逻辑单元。触发器具有两个稳定状态,即“0”和“1”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。 1.基本RS 触发器 图实验4.1为由两个与非门交叉耦合构成的基本RS 触发器。基本RS 触发器具有置“0”、置“1”和“保持”三种功能。通常称/S 为置“1”端,因为/S=0时触发器被置“1”;/R 端为置“0”端,因为/R =0时触发器被置“0”;当/S =/R =1时,触发器状态保持。基本RS 触发器也可以用两个“或非门”组成,此时为高电平有效置位触发器。 2. D 触发器 D 触发器的状态方程为:Q n+1=D 。其状态的更新发生在CP 脉冲的边沿,74LS74(CC4013)、74LS175(CC4042)等均为上升沿触发,故又称之为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D 端的状态。D 触发器应用很广,可用做数字信号的寄存、移位寄存、分频和波形发生器等,其逻辑符号如图实验4.3所示。 四、实验仪器设备 1、TPE —AD 数字逻辑实验电路箱1台 2、双D 触发器集成电路74LS74(CC4013)2片 3、四两输入集成与非门74LS00(CC4011)一片 图实验4.1 基本RS 触发器

图实验4.2 双D触发器图实验4.3 D触发器逻辑符号 五、实验内容及方法 1.测试基本RS触发器的逻辑功能 按图实验4.1连接电路,用两个与非门组成基本RS触发器,输入端/S 、/R接逻辑开关的输出口,输出端Q、/Q接逻辑电平显示灯输入接口,按表实验4.1的要求测试并记录。 (1)表实验4.1 RS触发器的逻辑功能 2.测试D触发器的逻辑功能。 (1)测试/R D、/S D的复位、置位功能。 在/R=0,/S D =1作用期间,改变D与CP的状态,观察 Q、/Q 状态。

【题5.14】已知维持阻塞结构d触发器各输入端的电压波形

【题】已知维持阻塞结构D触发器各输入端的电压波形如图所示,试画出Q、Q’端对应的电压波形。 解:根据D触发器逻辑功能的定义及维持阻塞结构所具有的边沿触发方式,即可画出Q 和Q’的电压波形如图. 【题】已知CMOS边沿触发方式JK触发器各输入端的电压波形如图所示,试画出Q、Q’端对应的电压波形。

解:根据JK触发器逻辑功能的定义和边沿触发方式的动作特点,画出的Q、Q’端电压波形如图。 【题】在脉冲触发T触发器中,已知T、CLK端的电压波形如图所示,试画出Q、Q’端对应的电压波形。设触发器的初始状态为Q=0。 解:根据T触发器逻辑功能的定义以及脉冲触发方式的动作特点,画出的Q、Q’端电

压波形如图.。 【题】在图的主从结构JK触发器电路中,已知CLK和输入信号T的电压波形如图所示,试画出触发器输出端Q和Q’的电压波形。设触发器的起始状态为Q=0。 解:将JK触发器的J和K接在一起作为输入端,就得到了T触发器。按照T触发器的逻辑功能和脉冲触发方式的动作特点,就到得到了图中Q、Q’端的电压波形。 【题】设图各触发器的初始状态皆为Q=0,试画出在CLK信号连续作用下各触发器输出端的电压波形。

解:根据每个触发器的逻辑功能和触发方式,画出输出端Q的电压波形,如图。

【题】试写出图(a)中各电路的次态函数(即Q1*、Q2*、Q3*、Q4*与现态和输入变量之间的函数式),并画出在图(b)给定信号的作用下Q1、Q2、Q3、Q4的电压波形。假定各触发器的初始状态均为Q=0。

解:由图中可写出触发器FF1的驱动方程为 J1=A’;K1=B’ 将它们代入JK触发器的特性方程Q*=JQ’+K’Q,得到 Q1*=A’Q1’+BQ 触发器FF2的驱动方程为 S2=AB;R2=(A+B)’ 将它们代入SR触发器的特性方程Q*=S+R’Q,得到 Q2*=AB+(A+B)Q2 触发器FF3的驱动方程为T3=A☉B,将它代入T触发器的特性方程Q*=TQ’+T’Q=T⊕Q,于是得到 Q3*=(A☉B)⊕Q3 触发器FF4的驱动方程为D4=A⊕B,将它代入D触发器的特性方程Q*=D,得到 Q4*=A⊕B 从t=0开始依次根据每个触发器的状态方程求出它们在CLK信号作用后应有的次态,就可以画出Q1、Q2、Q3、Q4在一系列CLK信号作用下的电压波形了,如图所示。

触发器工作原理与功能测试 实验报告

电子通信与软件工程 系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级: 姓名: 学号: 成绩: 同组成员: 姓名: 学号: --------------------------------------------------------------------------------------------------------------------- 一、 实验名称:触发器工作原理与功能测试 二、 实验目的: 1、熟悉并掌握R —S 、D 、J —K 触发器的构成,工作原理和功能测试方法. 2、学会正确使用触发器集成芯片. 三、实验内容: 1.基本R —SFF 功能测试: 两个TTL 与非门首尾相接构成的基本R —SFF 的 电路如图7.1所示. (1)试按下面的顺序在d S ,d R 端加信号: d S =0 d R =1 d S =1 d R =1 d S =1 d R =0 图 7.1 基本 R —S FF 电路 d S =1 d R =1 观来并记录FF 的Q 、Q 端的状态,将结果填入下表7。1中,并说明在上述各种输入状态下FF 执行的是什么功能? (2)d S 端接低电平.d R 端加脉冲。 (3)d S 端接高电子.d R 端加脉冲。

(4)连接Rd 、Sd ,并加脉冲 记录并观察(2)、(3)、(4)三种情况下,Q ,Q 端的状态.从中你能否总结出基本R 一SFF 的Q 或Q 端的状态改变和输人端d S ,d R 的关系。 (5)当d S 、d R 都接低电平时,观察Q 、Q 端的状态。当d S 、d R 同时由低电平跳为高 电平时,注意观察Q 、Q 端的状态,重复 3~5次看 Q 、Q 端的状态是否相同,以正确理解“不定”状态的含义。 2.维持一阻塞型D 触发器功能测试 双 D 型正边沿维持一阻塞型触发器 74LS74的逻辑符号如 图7.2所示。 图中d S 、d R 端为异步置1端,置0端(或称异步置位,复位; 端).CP 为时钟脉冲端。 试按下面步骤做实验: (1)分别在d S 、d R 端加低电平,观察并记录 Q 、Q 端的状态。 (2)令d S 、d R 端为高电平,D 端分别接高,低电平,用点动脉冲 作为 CP ,观察并记录当 CP 为 O 、↑、1、↓时 Q 端状态的 变化。 (3)当d S =d R =1、CP =0(或CP=1).改变D 端信号,观察Q 图 7.2 DFF 逻辑符号 端的状态是否变化? 整理上述实验数据,将结果填入下表7.2中. (4)令d S =d R =1,将 D 和Q 端相连,CP 加连续脉冲,用双踪示波器观察并记录Q 相 对于CP 的波形. 实验结果: 1. 基本R —SFF 功能测试:

相关文档
最新文档