哈工大电子技术自主设计实验

哈工大电子技术自主设计实验
哈工大电子技术自主设计实验

姓名班级学号

实验日期12.8节次9、10节教师签字成绩

实验名称设计简易数字钟

1. 实验目的

(1)用计数器相关知识设计一个简易的数字钟,分和秒为六十进制。

(2)了解中规模计数器的应用,通过独立设计和实践掌握74LS90、74LS00等芯片的功能。(3)锻炼动手能力,通过实际操作巩固所学知识,培养学习兴趣。

2.总体设计方案或技术路线

本实验旨在以计数器为核心,设计和调试出六十进制计数器,并进行两个六十进制计数器的级联。本实验采用74LS90芯片实现计数器的主要功能,对74LS90采用清零法设计六进制计数器,同时74LS90本身还可以实现十进制计数,将二者进行级联则可以得到六十进制计数器。将两个六十进制计数器级联可得到一个简易的数字时钟。74LS90芯片引脚图如下所示。

考虑到74LS90芯片的性能不够稳定,本实验需要两个六十进制计数器,我另外选用了74LS161芯片来设计一个六十进制计数器,然后和74LS90构成的六十进制计数器进行级联,得到数字时钟。74LS161芯片为集成同步加法计数器,具有清零、置数、保持等功能,其引脚图如下:

用74LS161实现异步进位级联六十进制计数器,高位芯片的时钟端来自低位芯片的输出端Q3,低位芯片采用异步清零法实现十进制计数器,高位芯片也采用同样的方法实现六进制计数器,级联后得到六十进制计数器。

当74LS90所构成六十进制计数器的高位芯片为六进制计数器,当输出为0110时控制清零端进行清零,由0110变为0000,Q3会产生一个下降沿,将Q3端通过一个与非门连到74LS161的CP端,经过与非门后的下降沿变为上升沿,触发74LS161芯计数。

用信号发生器输出周期为1s的方波信号,加到低位74LS90芯片计数器的输入端,即可带动整个时钟开始跳动。分和秒为六十进制,循环计时。

3.实验电路图

用Multisim12.0绘制实验电路图如下:

4. 仪器设备名称、型号

数字电子技术实验箱

直流稳压电源

数字万用表

74LS90芯片2个、74LS161芯片2个、74LS00芯片2个

5.理论分析或仿真分析结果

接通电源后,秒个位显示0到9,秒十位显示0到5,分个位显示0到9,分十位显示0到5。最大输出为59分59秒,之后回0,循环计数。

仿真结果如下图,左上为秒低位,右上为秒高位,左下为分低位,右下为分高位

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)

(1)检查导线是否完好

(2)按电路图所示连好电路。

(3)在秒低位的输入连入周期为1s的时钟。

(4)观察数码管的输出。是否实现了简易数字时钟的功能。如有错误,用数字万用表检查连线并改正,重新进行调试。

实验结果:

接通电源后和时钟信号输入后,秒个位显示从0到9,秒十位显示0到5,分个位显示0到9,分十位显示0到5。最大输出为59分59秒,之后回0,循环计数。

7.实验结论

用74LS90和74LS161芯片可以设计组合成一个简易数字时钟,两种芯片各自通过清零法实现六进制和十进制的计数器,然后级联为六十进制计数器,最后两个六十进制计数器间进行级联,输入为周期1s的时钟信号时,就可以得到一个简易的数字时钟。

8.实验中出现的问题及解决对策

本次实验中遇到了不少困难,最初的设计思路是四个芯片全部采用74LS90来实现计数器的功能,后来通过在实验室的调试,发现并不能理想地实现数字钟的功能,经常出现不稳定的情况,向老师询问后得知74LS90的性能不够稳定,芯片容易损坏,后来我尝试将分钟的高、低位芯片换为74LS161,两个六十进制计数器间的连接方式也进行了微调,又到实验室进行调试,得到了较为理想的结果。

9.本次实验的收获和体会、对电路实验室的意见或建议

通过本次实验,我的动手能力自主解决问题的能力得到提高,一开始的失败让我认识到探索的过程不是一帆风顺的,遇到挫折时不应气馁,而是努力通过各种方式解决问题。

10.参考文献

[1]杨世彦.《电工学·电子技术》.机械工业出版社.2008.5

[2]吴建强.《电工学新技术实践(第三版)》.机械工业出版社.2012.7

声控灯地设计与制作-哈工大-电子技术课程设计

H a r b i n I n s t i t u t e o f T e c h n o l o g y 课程设计说明书(论文) 课程名称:电子技术课程设计 设计题目:声控开关的设计与制作 院系:电气工程及其自动化 班级:1406111 设计者:元胜 学号:1140610319 指导教师:吕超 设计时间:2016年12月5-18日 工业大学

工业大学课程设计任务书

*注:此任务书由课程设计指导教师填写。

声控灯的设计与制作 1设计任务及原理 设计任务基本要求:设计一个声控开关,控制对象为发光二极管,接收到一定强度的声音后,声控开关点亮发光二级管,灯亮时间可调。控制延时时间用数字显示。 扩展要求:发光二极管点亮时间延时显示。 1.1设计原理 声控灯是将声音信号转换为电信号、电信号再转换为光信号的装置。 输入部分可由一个驻极体话筒实现。话筒的高分子极化膜生产时就注入了一定的永久电荷。在声波的作用下,极化膜随着声音震动,电容是随声波变化。于是电容两极间的电压就会成反比的变化。将电容两端的电压取出来,就可以得到和声音对应的电压了。但是这个电压信号非常小,不能驱动LED灯。对这个电压信号进行放大、整形,才能得到足够大的电压。 声控灯的延时可以由一个单稳态触发电路实现。单稳态电路的暂态时间就是发光二极管的发光持续时间。用前面经放大的电压作为触发脉冲输送给单稳态触发电路,会得到一个持续特定时间的电压输出。这个输出来驱动发光二极管,就达到了声控、发光的目的。 计数器部分首先需要一个时钟源。时钟源脉冲可由多谐振荡器获得。将单稳态电路的输出与时基脉冲结合,控制计数器的计数与清零,就可以使计数部分与发光部分同步工作。 计数结果再经译码输送给共阳极数码管,显示出来。 2设计过程 2.1声控灯电路原理: 当驻极体话筒接受到一定强度的声音信号时,声音信号转换为电压信号,经三极管放大、施密特触发器整形后,触发单稳态延时电路,产生一个宽度可调的脉冲信号,驱动发光二极管发光。同时,该脉冲信号作为选通信号,使计数器计数,并用数码管显示延时时间。电路的流程图如图 1所示:

哈工大电路答案-1

答案1.1 解:图示电路电流的参考方向是从a 指向b 。当时间t <2s 时电流从a 流向b,与参考方向相同,电流为正值;当t >2s 时电流从b 流向a ,与参考方向相反,电流为负值。所以电流i 的数学表达式为 2A 2s -3A 2s t i t ? 答案1.2 解:当0=t 时 0(0)(59e )V 4V u =-=-<0 其真实极性与参考方向相反,即b 为高电位端,a 为低电位端; 当∞→t 时 ()(59e )V 5V u -∞∞=-=>0 其真实极性与参考方向相同, 即a 为高电位端,b 为低电位端。 答案1.3 解:(a)元件A 电压和电流为关联参考方向。元件A 消耗的功率为 A A A p u i = 则 A A A 10W 5V 2A p u i === 真实方向与参考方向相同。 (b) 元件B 电压和电流为关联参考方向。元件B 消耗的功率为 B B B p u i = 则 B B B 10W 1A 10V p i u -===- 真实方向与参考方向相反。 (c) 元件C 电压和电流为非关联参考方向。元件C 发出的功率为 C C C p u i = 则 C C C 10W 10V 1A p u i -===-

真实方向与参考方向相反。 答案1.4 解:对节点列KCL 方程 节点③: 42A 3A 0i --=,得42A 3A=5A i =+ 节点④: 348A 0i i --+=,得348A 3A i i =-+= 节点①: 231A 0i i -++=,得231A 4A i i =+= 节点⑤: 123A 8A 0i i -++-=,得123A 8A 1A i i =+-=- 若只求2i ,可做闭合面如图(b)所示,对其列KCL 方程,得 28A-3A+1A-2A 0i -+= 解得 28A 3A 1A 2A 4A i =-+-= (b) 答案1.5 解:如下图所示 (1)由KCL 方程得 节点①: 12A 1A 3A i =--=- 节点②: 411A 2A i i =+=- 节点③: 341A 1A i i =+=- 节点④: 231A 0i i =--= 若已知电流减少一个,不能求出全部未知电流。 (2)由KVL 方程得

哈工大电子技术实验四人无弃权表决电路高分版

姓名 ________ X XX ______ 班级 1108301 学号 11108301XX 实验日期 6.5 节次 9-11 教师签字 ____________ 成绩 ______ 四人无弃权表决电路 1■实验目的 1)掌握74LS20的逻辑功能和使用方法; 2 )通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2■总体设计方案或技术路线 设计一个四人无弃权表决电路 (多数赞成则提议通过, 即三人以上包括三人),用74LS20 来实现。 1) 根据任务的要求,设计电路; 2) 用代数化简法求出最简的逻辑表达式; 3) 根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4) 最后,用实验来验证设计的正确性。 3■实验电路图 2)改变ABCD 勺组态,记录 Z 的变化,验证逻辑函数的功能及设计的正确性。 4.仪器设备名称、型号 1)实验箱 1 台 2)双踪示波器 1 台 3)双路直流稳压电源 1 台 4)数字万用表 1 只 1) ABCD 俞入端,接数据开关;Z 输出端接电平指示器 5) 74LS20 3 片 J 74ILS20 BCD T I _ 1^ ^T —-d :—T~r~~ 14 13 12 11 10 9 8 J 74LS20 1 2 3 4 5 6 7

5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: Z=ABC+BCD+ACD+ABD= AB C BCDACD ABD 逻辑图: ABC BCD ACD ABD

6■详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。 8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20 可实现两个与门,故线路连起来相当复杂, 容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1] 电工学实验教程/王宇红主编. ——北京:机械工业出版社,2009.8 (2012.1 重印)

哈工大电气培养方案

电气工程及其自动化专业本科生培养方案 一、培养目标 本专业培养具备电气工程领域相关的基础理论、专业技术和实践能力,具有宽广的自然科学基础和良好的人文素养,富于创新精神,能在电机与电器、电力系统、工业自动化以及电气装备制造等领域从事科学研究、工程设计、系统运行、试验分析、管理等工作的宽口径、复合型高级工程技术人才,以及具有国际竞争力的高水平研究型精英人才或工程领军人才。 二、培养要求 本专业学生主要学习电路、电磁场、电子技术基础、计算机技术、信号分析与处理、通信与网络技术、电机学、自动控制理论和电力电子技术等方面基础理论和专业知识,接受电工、电子、信息、控制及计算机技术方面的基本训练,掌握解决电气工程领域中的装备设计与制造、系统分析与运行及控制的基本能力。 毕业生应当具备以下几方面的知识和能力: 1.掌握较扎实的高等数学和大学物理等自然科学基础知识,具有较好的人文社会科学和管理科学基础,具有一定的外语国际交流和运用能力; 2.系统地掌握电气工程学科的基础理论和基本知识,主要包括电工理论、电子技术、信息处理、控制理论、计算机软硬件基本原理与应用等; 3.掌握电气工程相关的系统分析方法、设计方法和实验技术; 4.具有本专业领域内至少一个专业方向(电机、电力系统、工业自动化和电器)的专业知识和技能,了解本专业学科前沿的发展趋势; 5.具有较强的适应能力,具备一定的科学研究、技术开发和组织管理能力; 6.具有较好的工程实践动手能力和计算机应用能力,能综合运用所学知识分析和解决本领域工程问题; 7.掌握其他的一些技能,如信息技术获取,组织管理,团队合作,持续的知识学习等。 三、主干学科 电气工程。 四、专业主干课程 C语言程序设计、机械学基础、电路、模拟电子技术基础、数字电子技术基础、电磁场、电机学、自动控制理论、嵌入式系统原理及应用、仿真技术与应用、电力电子技术、信号与系统、工业通信与网络技术。 五、修业年限、授予学位及毕业学分要求 修业年限:四年。 授予学位:工学学士。 毕业学分要求:本专业学生应达到学校对本科毕业生提出的德、智、体、美等方面的要求,完成教学计划规定的全部课程的学习及实践环节训练,修满167.5学分,其中通识教育类课程 62.5学分,专业教育类课程68.0学分,实践环节37.0学分,毕业设计(论文)答辩合格,方可准予毕业。

哈工大电路原理基础课后习题

第一章习题 1.1 图示元件当时间t<2s时电流为2A,从a流向b;当t>2s时为3A,从b流向a。根据图示参考方向,写出电流的数学表达式。 1.2图示元件电压u=(5-9e-t/τ)V,τ>0。分别求出t=0 和t→∞时电压u的代数值及其真实方向。 图题1.1图题1.2 1.3 图示电路。设元件A消耗功率为10W,求;设元件B消耗功率为-10W,求;设元件C发出功率为-10W,求。 图题1.3 1.4求图示电路电流。若只求,能否一步求得? 1.5图示电路,已知部分电流值和部分电压值。 (1) 试求其余未知电流。若少已知一个电流,能否求出全部未知电流? (2) 试求其余未知电压u14、u15、u52、u53。若少已知一个电压,能否求出全部未知电压? 1.6 图示电路,已知,,,。求各元件消耗的功率。 1.7 图示电路,已知,。求(a)、(b)两电路各电源发出的功率和电阻吸收的功率。 1.8求图示电路电压。 1.9 求图示电路两个独立电源各自发出的功率。 1.10求网络N吸收的功率和电流源发出的功率。 1.11 求图示电路两个独立电源各自发出的功率。

1.12 求图示电路两个受控源各自发出的功率。 1.13 图示电路,已知电流源发出的功率是12W,求r的值。 1.14求图示电路受控源和独立源各自发出的功率。 1.15图示电路为独立源、受控源和电阻组成的一端口。试求出其端口特性,即关系。 1.16 讨论图示电路中开关S开闭对电路中各元件的电压、电流和功率的影响,加深对独立源特性的理解。 第二章习题 2.1 图(a)电路,若使电流A,,求电阻;图(b)电路,若使电压U=(2/3)V,求电阻R。 2.2 求图示电路的电压及电流。 2.3图示电路中要求,等效电阻。求和的值。 2.4求图示电路的电流I。

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号xx 实验日期节次 9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号

1)实验箱 1台2)双踪示波器 1台3)双路直流稳压电源 1台4)数字万用表 1只5)74LS20 3片5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表: A B C D F 00000 00010 00100 00110

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。

8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,(重印)

哈工大电路自主设计实验

姓名 班级 学号 实验日期 节次 教师签字 成绩 影响RLC 带阻滤波器性能参数的因素的研究与验证 1.实验目的 (1)学习带阻滤波器的设计方法 (2)测量RLC 带阻滤波器幅频特性曲线 (3)研究电阻、电容和品质因素Q 对滤波器性能的影响 (4)加深对滤波器滤波概念的理解 2.总体设计方案或技术路线 (1)理论推导,了解滤波器的主要性能参数及与滤波器性能有关的因素 (2)设计RLC 带阻滤波器电路图 (3)研究电阻R 对于滤波器参数的影响 (4)研究电容C 对于滤波器参数的影响 (5)研究电感L 对于滤波器参数的影响 (6)合理设计实验测量,结合电容C 和电感L 对滤波器参数的影响 (7)将实际测量结果与理论推导作对比,并分析实验结果 3.实验电路图 R1V- V+

4.仪器设备名称、型号 函数信号发生器 1台 FLUKE190-104数字便携式示波表 1台 十进制电阻箱 1只 十进制电容箱 1只 十进制电感箱 1只 5.理论分析或仿真分析结果 带阻滤波器是指能通过大多数频率分量、但将某些范围的频率分量衰减到极低水平的滤波器,与带通滤波器的概念相对。 理想带阻滤波器在阻带内的增益为零。带阻滤波器的中心频率f o,品质因素Q和抑制带宽BW之间的关系为 仿真结果: R=2000Ω C=0.01uf L=0.2H

R=500Ω C=0.01uf L=0.2H

R=2000Ω C=0.05uf L=0.2H

R=2000Ω C=0.01uf L=0.1H R=2000Ω C=0.01uf L=0.5H

改变R时对比图 改变C时对比图 改变L时对比图 6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) (1)电阻R对于滤波器参数的影响 任务1:电路如图所示,其中信号源输出Us=5V,电容C=0.01uF,电感L=0.2H,根据下表所示,选择不同电阻值测量输出幅频特性

数字电子技术基础课后答案全解主编_杨春玲_王淑娟

第3章 逻辑代数及逻辑门 【3-1】 填空 1、与模拟信号相比,数字信号的特点是它的 离散 性。一个数字信号只有两种取值分别表示为0 和1 。 2、布尔代数中有三种最基本运算: 与 、 或 和 非 ,在此基础上又派生出五种基本运算,分别为与非、或非、异或、同或和与或非。 3、与运算的法则可概述为:有“0”出 0 ,全“1”出 1;类似地或运算的法则为 有”1”出”1”,全”0”出”0” 。 4、摩根定理表示为:A B ?=A B + ;A B +=A B ?。 5、函数表达式Y=AB C D ++,则其对偶式为Y '=()A B C D +?。 6、根据反演规则,若Y=AB C D C +++,则Y =()AB C D C ++? 。 7、指出下列各式中哪些是四变量A B C D 的最小项和最大项。在最小项后的( )里填入m i ,在最大项后的( )里填入M i ,其它填×(i 为最小项或最大项的序号)。 (1) A +B +D (× ); (2) ABCD (m 7 ); (3) ABC ( × ) (4)AB (C +D ) (×); (5) A B C D +++ (M 9 ) ; (6) A+B+CD (× ); 8、函数式F=AB+BC+CD 写成最小项之和的形式结果应为m ∑(3,6,7,11,12,13,14,15),写 成最大项之积的形式结果应为 M (∏ 0,1,2,4,5,8,9,10 ) 9、对逻辑运算判断下述说法是否正确,正确者在其后( )内打对号,反之打×。 (1) 若X +Y =X +Z ,则Y=Z ;( × ) (2) 若XY=XZ ,则Y=Z ;( × ) (3) 若X ⊕Y=X ⊕Z ,则Y=Z ;(√ ) 【3-2】用代数法化简下列各式 (1) F 1 =1ABC AB += (2) F 2 =ABCD ABD ACD AD ++= (3)3F AC ABC ACD CD A CD =+++=+ (4) 4()()F A B C A B C A B C A BC =++?++?++=+ 【3-3】 用卡诺图化简下列各式 (1) 1F BC AB ABC AB C =++=+ (2) 2F AB BC BC A B =++=+ (3) 3F AC AC BC BC AB AC BC =+++=++ (4) 4F ABC ABD ACD CD ABC ACD A D =+++++=+

哈工大数字电子技术基础习题册答案7和10(修改)

第7章 时序逻辑电路 【7-1】已知时序逻辑电路如图7.1所示,假设触发器的初始状态均为0。 (1 )写出电路的状态方程和输出方程。 (2) 分别列出X =0和X =1两种情况下的状态转换表,说明其逻辑功能。 (3) 画出X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形。 1J 1K C11J 1K C1Q 1 Q 2 CP X Z 1 图7.1 解: 1.电路的状态方程和输出方程 n 1n 2n 11n 1Q Q Q X Q +=+ n 2n 11n 2Q Q Q ⊕=+ CP Q Q Z 21= 2 .分别列出X =0和X =1两种情况下的状态转换表,见题表7.1所示。逻辑功能为 当X =0时,为2位二进制减法计数器;当X =1时,为3进制减法计数器。 3.X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形如图7.1(b)所示。 题表7.1 Q Q Z 图7.1(b) 【7-2】电路如图7.2所示,假设初始状态Q a Q b Q c =000。 (1) 写出驱动方程、列出状态转换表、画出完整的状态转换图。 (2) 试分析该电路构成的是几进制的计数器。 Q c

解: 1.写出驱动方程 1a a ==K J n c n a b b Q Q K J ?== n b n a c Q Q J = n a c Q K = 2.写出状态方程 n a 1 n a Q Q =+ n a n a n a n a n c n a 1n b Q Q Q Q Q Q Q +=+ n c n a n c n b n a 1n b Q Q Q Q Q Q +=+ 3.列出状态转换表见题表7.2,状态转换图如图7.2(b)所示。 图7.2(b) 表7.2状态转换表 CP n a n b c Q Q Q 0 0 0 0 1 0 0 1 2 0 1 0 3 0 1 1 4 1 0 0 5 1 0 1 6 0 0 0 n 4.由FF a 、FF b 和FF c 构成的是六进制的计数器。 【7-3】在二进制异步计数器中,请将正确的进位端或借位端(Q 或Q )填入下表 解: 题表7-3 下降沿触发 由 Q 端引出进位 由Q 端引出借位 触发方式 加法计数器 减法计数器上升沿触发 由Q 端引出进位 由Q 端引出借位 【7-4】电路如图7.4(a)所示,假设初始状态Q 2Q 1Q 0=000。 1. 试分析由FF 1和FF 0构成的是几进制计数器; 2. 说明整个电路为几进制计数器。列出状态转换表,画出完整的状态转换图和CP 作用下的波形图。

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号11108301xx 实验日期 6.5 节次9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号 1)实验箱 1台 2)双踪示波器 1台 3)双路直流稳压电源 1台 4)数字万用表 1只 5)74LS20 3片

5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。 8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,2009.8(2012.1重印)

数字电子技术基础-课程标准

《数字电子技术基础》课程标准 适应专业:电子信息工程、通信工程、电气工程及其自动化 课程编号: 课程名称:数字电子技术基础(Fundamentals of Digital Electronic Technique) 课程类型:专业基础课程 学时学分:64学时(4学分) 一、课程概述 (一)课程性质 《数字电子技术基础》是电子信息工程、通信工程、电气工程及其自动化专业教学中的一门重要专业基础课,在教学计划中占有重要地位和作用,本课程的教学目的是使学生掌握数字电子技术的基本理论知识,通过本课程的学习,应使学生具有看懂简单数字装置逻辑图的能力,具有查阅集成电路产品手册的能力,具有分析和设计简单数字电路的能力。全面培养学生解决数字电路实际问题的能力、为后续课程的学习打下扎实的理论基础和必要的实践技能基础。本课程的先修课程有:《电路分析》、《模拟电子技术基础》等;后续课程有:《通信原理》、《DSP原理与应用》等。 (二)基本理念 为适应当今科学与技术发展和培养高素质应用型人材的要求,《数字电子技术基础》作为一门专业基础课程,本着加强专业理论技术应用,拓宽专业口径,注重实践性环节,提高素质教育作为教学理念。在教学设计方面,使学生对数字电子技术的基本概念、基本理论、基本分析和设计方法有深刻的理解和掌握;通过本课程的学习,培养学生的探索、创新思维;通过实践性教学环节培养学生分析解决问题的能力,拓展创新应用能力。 (三)设计思路 1. 课程总体设计原则 课程教学主要按照理论和实践教学两个步骤实施,理论教学主要包括组合逻辑电路和时序逻辑电路两大部分,在组合逻辑电路中主要介绍数字电子技术的分析与设计方法、中规模集成电路的原理。时序逻辑电路中主要掌握触发器,计数器、移位寄存器等集成电路的原理,教会学生能够熟练使用这些集成电路设计一些简单的应用电路。实践教学主要针对理论课教学内容,设计相应的实验内容,通过数字电子技术理论进行验证性和综合性实验教学,让学生在实践中得到理论知识的加深,进一步提高创新应用能力。 2. 课程内容结构、课时安排说明、学时分配 一般情况下,每周安排4课时,共64课时,其中理论教学48课时。实践教学16课时安排如下: 主要内容理论 课时 实践课时教与学的方法建议

电路基本理论课后答案(哈工大版)第10章

答案10.1 解:0t 时,求等效电阻的电路如图(b)所示。 等效电阻 Ω=++-==5)36(4i i i i i u R 时间常数 s 1.0i ==C R τ 0>t 后电路为零输入响应,故电容电压为: V e 6.0e )0()(10/t t C C u t u --+==τ

Ω6电阻电压为: V e 72.0)d d (66)(101t C t u C i t u -=-?Ω-=?Ω-=)0(>t 答案10.4 解:0t 后电路为零输入响应,故电感电流为 A e 3e )0()(2/t t L L i t i --+==τ)0(≥t 电感电压 V e 24d d )(21t L t i L t u --==)0(>t Ω3电阻电流为 A e 236321 33t L u i u i --=Ω +?Ω=Ω= Ω3电阻消耗的能量为: W 3]e 25.0[12123040 40 2 3 3=-==Ω=∞-∞ -∞Ω??t t dt e dt i W 答案10.5 解:由换路定律得0)0()0(==-+L L i i ,达到稳态时电感处于短路,故 A 54/20)(==∞L i 求等效电阻的电路如图(b)所示。 (b) 等效电阻 Ω==6.18//)4//4(i R 时间常数 s )16/1(/i ==R L τ 0>t 后电路为零状态响应,故电感电流为:

精编【电子行业】哈理工电子技术数字部分

【电子行业】哈理工电子技术数字部分

xxxx年xx月xx日 xxxxxxxx集团企业有限公司Please enter your company's name and contentv

数制和码制、逻辑代数基础 教学内容: 0、概述(1)逻辑代数(2)二进制表示法(3)二进制代码 1、基本概念、公式和定理(1)基本和常用逻辑运算(2)公式和定理 2、逻辑函数的化简方法(1)标准式和最简式(2)公式化简法(3)图形化 简法(4)具有约束的函数的化简 3、逻辑函数的表示方法及其相互转换(1)几种表示方法(2)几种表示法 的相互转换 重点难点: 逻辑代数的公式、定理及应用 逻辑函数各种表示方法及其相互转换 逻辑函数的化简(包括具有约束的函数)

教学要求: 掌握逻辑函数四种表示方法,能熟练地相互转换,会根据输入画输出波形; 掌握逻辑函数俩种化简方法,正确理解约束条件,且能在化简中熟练运用。 一、单项选择题 1、数字电路中的工作信号为( )。 (a) 随时间连续变化的电信号(b) 脉冲信号(c) 直流信号 2、AB+CD的“和非”逻辑式为( )。 (a) (b) (c) 3、图示逻辑电路的逻辑式为( )。 (a) B+A(b) AB+ (c) +AB (d) AB

4、下列逻辑符号中,能实现逻辑功能的是( )。 5、逻辑图和输入A,B的波形如图所示,分析当输出F为“0”的时刻应是( )。 (a) t1(b) t2(c) t3 6、逻辑式BC+ABC+C,化简后为( )。 (a) B+C (b) C+AB (c) C+BC 7、逻辑符号如图所示,表示“和”门的是( ) 。

哈工大电力电子 2014作业3章

黑龙江省精品课程 电力电子技术基础 作业(3章) 2014年 2月

第3章整流电路习题 第1部分:简答题 1.什么是半波整流器?什么是全波整流器?举例说明其拓扑结构有什么不同? 2. 针对晶闸管变流器,给出下列名词的定义:自然换流点,触发延迟角,导通角和移相控制范围。 3.什么是变流器的相位控制方式? 4.什么是有源逆变?简述有源逆变产生的条件,并比较晶闸管变流器整流工作模式与逆变工作模式的差别。 5.逆变角是如何定义的?简述当晶闸管变流器工作于逆变状态时,应如何限制逆变角才能保证正常换流?简述逆变失败的原因及逆变失败所产生的后果。 6.晶闸管三相桥式变流电路,在设计触发电路时,为什么要采用“双窄脉冲”触发方式?晶闸管单相桥式变流电路,是否也需要采用这种双窄脉冲触发方式,为什么? 7.为什么随着触发角α的增加,晶闸管整流器的功率因数会变降低? 8.二极管桥式整流电路,负载侧并联大电容时,为什么在启动时会产生突入电流,突入电流有何危害,如何抑制突入电流? 第2部分:画图及计算题 1.当要求设备即可以在115V,又可以在230V交流输入电压下工作时,可采用如图3-1所 示倍压整流电路为设备提供直流电源。当输入电压为230V时,电压选择开关断开;当输入电压为115V时,电压选择开关闭合。试说明在这两种情况下整流输出电压是相同的。 图 3-1

2. 图3-2所示单相桥式半控整流电路(半控指将变流器中的一半晶闸管换成二极管,所以只有一半器件是可控的),大电感负载(近似认为负载电流恒定为Id ),回答下列问题: 1)画出在α=0o,α=90o时直流输出电压vd ,电源电流is ,S1中电流is1,D1中电流iD1的波形(规定:器件的正向导电方向为电流的正方向)。 2)推导直流输出电压Vd 的解析表达式(即Vd 与相电压有效值Vs,触发角α的关系表达式)。 3)说明该电路能否工作于有源逆变状态。试说明单相桥式半控整流电路与全控变流电路(全部器件都是晶闸管)相比,有那些优缺点? 图3-2 3. 单相桥式晶闸管变流电路如图3-3所示,交流电源电压有效值Vs=100V ,负载中rd =2Ω,Ld 值极大,反电势Ed=60V ,假定Ls =0。回答下列问题: 1)计算使晶闸管能触发导通的最小触发角; 2)当 时,求整流输出平均电压Vd 、平均电流Id ,输入电流有效值Is ,输入电流畸 变率THD ,功率因数PF 。 提示: 图3- 3 ) ]

哈工大电路习题答案第08章

答案8.1 解: )/1()(T t A t f -= T t <<0 ??-== T T dt T t A T dt t f T A 000)/1(1)(1A T t t T A T 5.0]2[02=-= ?-=T k dt t k T t A T a 0 )cos()/1(2ω 0)sin(2)]sin()/1(2[0 20=+?-=?T T dt t k T k A t k Tk T t A ωωωω ?-=T k dt t k T t A T b 0 )sin()/1(2ω π ωωωωωk A kT A dt t k T k A t k Tk T t A T T ==-?--=?2)cos(2)]cos()/1(2[020 所以 ∑ ∞ =+=1 sin 5.0)(k t k k A A t f ωπ 频谱图如图(b)所示。 .0 答案8.2 解:电流i 的有效值 57.1)2/13.0()2/67.0()2/57.1(12222≈+++=I A 只有基波电流与正弦电压形成平均功率,故二端电路输入的平均功率为: 95.73)]90(90cos[2 57 .122.94=?--?-?= P W 注释:非正弦周期量分解成傅里叶级数后,其有效值等于直流分量和不同频 率交流分量有效值平方和的平方根。 答案8.3 解:对基波 ?∠=0100m(1)U V , A 010m(1) ?∠=I 由

Ω==-+=10)1(j ) 1(m ) 1(m ) 1(I U C L R Z ωω 求得 Ω=10R , 01 =-C L ωω (1) 对三次谐波 ?-∠=3050m(3)U V , A 755.1i m(3)ψ-∠=I 又由 Ω+?-∠==-+=)30(5.28)313(j m(3) m(3)) 3(i I U C L R Z ψωω (2) 所以 22 25.28)313(=- +C L R ωω (3) 将式(1)代入式(3), 解得 mH 9.31=L 将mH 9.31=L 代入式( 1 ),求得 F 3.318μ=C 再将C L R 、、 值代入式(2),有 Ω?-∠=Ω+=3028.5j26.7)10(i )3(ψZ 解得 ?=45.99i ψ 答案8.4 解: (1) 电压有效值: V 01.80)225()250()2100(222=++=U 电流有效值 58.74mA )2 10 ()220()280( 222=++=I (2) 平均功率 kW 42.345cos 2 10250cos 22050)45cos(280100=??+??+?-?=P

哈尔滨工业大学远程教育学院 电力电子技术-模拟试题5-试卷

哈尔滨工业大学远程教育学院 电力电子技术模拟试题5(开卷,时间:120分钟) (所有答案必须写在答题纸上) 一、填空题(42分,每空1分) 1.IGBT导通的条件是:且。 2. IGBT的输出特性分为三个区域,分别是:。IGBT的开关过程,是在区和区之间切换。 3.IGCT由和两类器件结合而成的复合器件,目前正在与IGBT等新型器件激烈竞争,试图最终取代在大功率场合的位置。 4.将多个电力电子器件封装在一个模块中,称为。 5.与单管器件相比,功率模块的优点是:、。 6.功率集成电路将功率器件与等信息电子电路制作在同一芯片上。 7.功率集成电路实现了和的集成,成为机电一体化的理想接口。 8.按照载流子参与导电的情况,,可将电力电子器件分为: 、和三类。 9.斩波电路用于拖动直流电动机时,降压斩波电路能使电动机工作于第 象限,升压斩波电路能使电动机工作于第象限,斩波电路能使电动机工作于第1和第2象限。 10.桥式可逆斩波电路用于拖动直流电动机时,可使电动机工作于第 象限。 11.复合斩波电路中,电流可逆斩波电路可看作一个斩波电路和一个 斩波电路的组合;多相多重斩波电路中,3相3重斩波电路相当于3 个斩波电路并联。 12.T型双极式可逆斩波电路需要电源供电,功率管承受的反向电压是电源电压的倍。 13.一个开关周期内,双极式桥式可逆斩波电路所输出的负载电压极 性,故称双极式;单极式桥式可逆斩波电路所输出的负载电压极 性,故称单极式。 14.把直流电变成交流电的电路称为,当交流侧有电源时称为,当交流侧无电源时称为。

15.电流从一个支路向另一个支路转移的过程称为换流,从大的方面,换流可以分为两类,即外部换流和,进一步划分,前者又包括两种换流方式,后者包括两种换流方式。适用于全控型器件的换流方式 是。 16.逆变电路可以根据直流侧电源性质不同分类,当直流侧是电压源时,称此电路为,当直流侧为电流源时,称此电路为。17.半桥逆变电路输出交流电压的幅值Um为,全桥逆变电路输出交流电压的幅值Um为。 18.单相全桥方波型逆变电路,180度导电角的控制方式下,改变输出交流电压的有效值只能通过改变来实现,改变可改变输出交流电频率。为防止同一桥臂的上下两个开关器件同时导通而引起直流侧电源短路,在开关控制上应采取的措施。 二、简答题(18分,每题2分) 1.电力电子器件是如何定义和分类的?同处理信息的电子器件相比,它的特点是什么? 2.使晶闸管导通的条件是什么? 3.维持晶闸管导通的条件是什么?怎样才能使晶闸管由导通变为关断? 4.什么是异步调制?什么是同步调制?两者各有何特点?分段同步调制有什么优点? 5.什么是SPWM波形的规则化采样法?和自然采样法比规则采样法有什么优点? 6.交流调压电路和交流调功电路有什么区别?二者各运用于什么样的负载?为什么? 7.单相交流调压电路带电阻负载和带阻感负载时所产生的谐波有何异同? 8.斩控式交流调压电路带电阻负载时输入输出有何特性? 9.什么是组合变流电路?

哈工大电路原理基础课后习题

第一章习题 1.1 图示元件当时间t<2s时电流为2A,从a流向b;当t>2s时为3A,从b流向a。根据图示参考方向,写出电流的数学表达式。 1.2图示元件电压u=(5-9e-t/τ)V,τ>0。分别求出t=0 和t→∞时电压u的代数值及其真实方向。 图题1.1 图题1.2 1.3 图示电路。设元件A消耗功率为10W,求;设元件B消耗功率为-10W,求;设元件C发出功率为-10W,求。 图题1.3 1.4求图示电路电流。若只求,能否一步求得? 1.5 图示电路,已知部分电流值和部分电压值。 (1) 试求其余未知电流。若少已知一个电流,能否求出全部未知电流? (2) 试求其余未知电压u14、u15、u52、u53。若少已知一个电压,能否求出全部未知电压? 1.6 图示电路,已知,,,。求各元件消耗的功率。 1.7 图示电路,已知,。求(a)、(b)两电路各电源发出的功率和电阻吸收的功率。 1.8 求图示电路电压。 1.9 求图示电路两个独立电源各自发出的功率。 1.10 求网络N吸收的功率和电流源发出的功率。 1.11 求图示电路两个独立电源各自发出的功率。

1.12 求图示电路两个受控源各自发出的功率。 1.13 图示电路,已知电流源发出的功率是12W,求r的值。 1.14 求图示电路受控源和独立源各自发出的功率。 1.15图示电路为独立源、受控源和电阻组成的一端口。试求出其端口特性,即关系。 1.16 讨论图示电路中开关S开闭对电路中各元件的电压、电流和功率的影响,加深对独立源特性的理解。 第二章习题 2.1 图(a)电路,若使电流A,,求电阻;图(b)电路,若使电压U=(2/3)V,求电阻R。 2.2 求图示电路的电压及电流。 2.3 图示电路中要求,等效电阻。求和的值。 2.4求图示电路的电流I。

哈工大电气工程电路教材

2012年硕士研究生入学考试大纲 考试科目名称:电路与数字电子技术考试科目代码:[827] 一、考试要求 要求考生全面系统地掌握电路和数字电子技术的基本概念及基本定律,并且能灵活运用,具备较强的分析、设计与解决电路与数字电子电路问题的能力。 二、考试内容 (一)电路部分(60%) 1 直流电路 (1) 基本概念和电路元件:参考方向,电功率和电能量,基尔霍夫定律。电阻、电容、电感、电压源、电流源和受控源,理想变压器、互感等元件的特性及其电压电流关系。 (2) 星-三角等效变换,支路电流法,回路电流法,节点电压法。 (3) 叠加定理,齐性定理,戴维南和诺顿定理,最大功率传输定理,特勒根定理。 2 交流电路 (1) 正弦电路中有效值、瞬时值,正弦量的相量,相量图,电路元件电压电流关系的相量形式、阻抗和导纳,正弦电流电路的分析计算,含互感电路的计算(互感电压、同名端、串联、并联、互感消去),有功功率、无功功率和复功率,功率表的使用,最大功率传输定理。 (2) 非正弦周期量的有效值,平均功率, 非正弦周期电流电路的计算。 (3) 串联谐振和并联谐振条件、特点,谐振电路的分析计算。 (4) 三相电路的连接方式,对称三相电路线电压、线电流、相电压、相电流及功率的计算, 简单不对称三相电路的计算。 3 暂态电路 (1) 线性电路的时域分析:换路定则,阶跃函数和冲激函数,零输入响应,零状态响应和全响应,用三要素法求一阶电路的全响应,一阶电路的冲激响应的计算。 (2) 线性电路的复频域分析:复频域中的电路模型,用拉氏变换求解电路暂态过程,复频域中的网络函数。 4 二端口网络: (1) 二端口网络四种参数(阻抗、导纳、传输、混合)方程的计算 (2) 二端口网络的T型和型等效电路,二端口网络的联接。 (3) 二端口网络(包括有载二端口、有源二端口)端口电压、电流的计算。 (二)数字电子技术部分(40%) 1 逻辑代数基础 (1) 逻辑代数中的基本概念:逻辑变量、逻辑运算和逻辑函数等; (2) 逻辑代数的基本定律、形式定理和基本规则; (3) 最小项与最大项的定义和性质; (4) 逻辑函数的逻辑式、真值表、逻辑图和卡诺图表示法; (5) 采用代数法和卡诺图法化简逻辑函数。 2 集成逻辑门 (1) TTL与非门结构、功能、特性曲线和参数; (2) 集电极开路门(OC门)电路结构、原理及应用; (3) 三态门电路结构、原理和应用; (4) CMOS 反相器的结构、原理及特性; (5) CMOS传输门的结构、原理及应用; (6) CMOS门与TTL 门的比较。 3 组合数字电路 (1) 组合数字电路的分析; (2) 组合数字电路的设计; (3) 中规模组合数字电路(全加器、译码器、编码器、数据选择器和数码比较器)的原理、功能

电路理论基础A第五章(哈工大)答案

答案5.1 设负载线电流分别为A B C i i i 、、,由KCL 可得A B C 0I I I = ++。又A B C 10A I I I ===, 则A B C i i i 、、的相位彼此相差120?,符合电流对称条件,即线电流是对称的。 但相电流不一定对称。例如,若在三角形负载回路内存在环流0I (例如,按三角形联接的三相变压器),则负载相电流不再对称,因为 0CA CA 0BC BC 0AB AB ',','I I I I I I I I I +=+=+= 不满足对称条件。而该环流对线电流却无影响,因为每个线电流都是两个相电流之差(如图题7.3),即 BC CA BC CA C AB BC AB BC B CA AB CA AB A '','',''I I I I I I I I I I I I I I I -=-=-=-=-=-= A B C 图 题7.3 如已知负载对称,则相电流也是对称的,每相电流为77.53/10≈A 。 答案5.2 负载各相阻抗化为星形联接为 (8j6)'33Z Z -==Ω 设A 相电源相电压为2200∠ ,A 相负载线电流与电源相电流相等 AN A 220082.50A (8j6)Z 'j2 3l U I Z ∠? ===∠-Ω +Ω+ 由三角形联接得相电流与线电流关系得 A'B'47.6A I === 即负载相电流为47.6A 。 答案5.3 解:电路联接关系如图(a)所示。负载断开时电源的输出线电压等于图中相电压 倍。下面计算相电压A U 。

A I (b) I C (a)U 设负载A 相电压为AN 2200V U =∠? ,对于感性负载,由cos 0.8?=,得36.87?=-?,则 A 236.87A I =∠-? 采用单相分析法,如图(b)所示。 电源相电压为 A AN A i [2200236.87(2j4)]V U U I Z =+ =∠?+∠-??+ 2281V =∠? 当负载断开时,电源输出电压为 A 395V l U == 答案5.7 解:设电源为星形联接,电源A 相电压相量为 AN 2200V U ==∠? 则电源线电压分别为 AB 38030V U =∠? ,BC 38090V U =∠-? ,CA 380150V U =∠? 。 (1)设电路联接如图(a)所示,化为单相计算,如图(b)所示。 N ' N N ' U U (b) AN ' U BN BN I 因为负载为星形联接,所以负载相电压 AN'2200V U =∠? ,BN'220120V U =∠-? ,CN'220240V U =∠-? 又因为

相关文档
最新文档