哈工大数电课设-波形发生器

哈工大数电课设-波形发生器
哈工大数电课设-波形发生器

H a r b i n I n s t i t u t e o f T e c h n o l o g y

课程设计说明书(论文)

课程名称:数字电子技术基础

设计题目:基于EEPROM的可编程波形发生器

院系:XXXXXXXX

班级:XXXXX

设计者:XXXXXX

学号:xxxxxxxxxxxx

指导教师:XXXXX

设计时间:2XXXXXXXXXXXXX

哈尔滨工业大学

哈尔滨工业大学课程设计任务书

第一章系统整体结构的设计

该系统由555时钟电路,256进制计数器,地址译码器,存储器,DA转换器,放大电路,单稳态触发电路,十进制计数器,显示译码器,数码显示管构成。

本设计中充分利用EEPROM的地址译码器是全译码的特点,再配置一个8位二进制加法计数器作为选址计数器来产生EEPROM所需要的8位全译码选址信号。随着计数脉冲CP的顺序输入,选址计数器进行加法计数,计数器的状态按8421码的态序转换,得到一组全译码信号正好作为EEPROM的选址信号,只要在EEPROM的存储矩阵存储了所需要的波形的编程信号,EEPROM输出线端就可得到所需的波形数据了,数据位数可达到8位,再将此波形数据送入D/A转换器,经过D/A转换,将波形数字量转换成模拟量,再配以运放进行电流电压转换,最后在运放的输出端即可得到所需的电压波形了。

第二章各部分电路图及其功能分析

2.1 555时钟电路

该部分电路由555组成的多谐振荡器构成,根据调节R1,R2的大小可以调节输出的时钟的周期频率。

2.2 256进制计时器

该部分由两个十六进制计数器74LS161同步并行级联而成,用于输出0~255的二进制数给之后的地址译码器。

2.3 单稳态触发电路

该部分由74LS122集成单稳态触发器构成的单稳态触发器构成,如图开关用于调节输出的波形,并且由单稳态电路的特性可知该电路具有防抖动的功能。

2.4 十进制计数器

该部分由74LS160十进制计数器的两个低位构成,当单稳态触发输出一个有效信号时进行计数,并将输出给显示译码器以及EEPROM进行波形选择。

2.5 显示电路

该部分由显示译码器74LS47以及数码显示管构成,用以显示选择的波形序号。译码器将输入的二进制数译码之后给显示管显示。

2.6 地址译码器及存储器

该部分由电擦除电写入型EEPROM构成,内部集成了地址译码器以及存储器。输入由256计数器的输出以及十进制计数器的两个低位输出构成,十进制计数器的低两位输入选择4条字线,256进制的输入依次输出不同位线上存储的波形数据。

2.7 D/A转换器及放大电路

该部分由D\A转换器和运算放大器构成。D\A转换器将EEPROM输出的波形的数字信号转换成模拟信号,在经过运放将模拟信号放大并转化成为电压信号显示在示波器上。

第三章实验整体电路图

第四章实验结果

以下是实验中产生的四中波形图

(1)当数码管显示为0时,为正弦波

(3)当数码管显示为2时,为三角波

第五章实验出现的不足及改进方案

5.1 波形的对称度不太好,正负幅值相差较多

如图

改进方案:调节实验电路中的电阻R3,R4使得幅值以及对称度满足要求改进前电路

改进后电路(使用两个电位器调节)

5.2 74LS160十进制计数器由1001跳转到0000时引起的波形调节不连续改进方案:利于74LS00与非门引入反馈到清零端构成四进制计数器

改进前电路图

改进后效果:改进后能连续变化

5.3 由于单稳态电路的暂稳态时间tw过短引起调节波形时数码管数字跳动严重改进方案:增大单稳态电路的电阻R以及电容C的值

改进前电路

改进后效果:切换波形时抖动较小

第六章设计心得体会

通过本次课程设计我更加了解了各种芯片及其构成的各种电路的功能。在这次设计中我们采用了模块化设计方法,将整个电路分成了时钟模块,计数器模块,单触发器模块,显示模块,存储模块以及输出模块,然后将其搭载在面包板上,通过一一验证各个模块的功能并进行调试,最后将其功能整合起来,最终实现了整个系统的功能。

在调试的过程中,我们不断发现了电路中存在的问题并加以处理解决,这个过程我们不断运用所学的数字电子技术的基础知识,让我们不断加深对理论知识的理解,同时也锻炼了我们的动手排查能力,无论是从理论上还是从实践上都是一种很好的锻炼。

总而言之,这次课程设计是成功的,虽然在调试的过程中出现了各种难题,但是经过不断的排查最终得以实现了整个系统的功能。同时这也是理论联系实际的一次很好的机会,让我们充分运用课堂上学习的理论知识来设计并调试电路,使我们对理论知识的理解更加深入了。更重要的是这次课程设计锻炼了我的动手能力,为我以后工作奠定了良好的基础。

参考文献

【1】杨春玲,王淑娟《数字电子技术基础》高等教育出版社

哈工大数字电路设计加减乘三则计算器

哈工大数字电路设计加减乘三则计算器

————————————————————————————————作者:————————————————————————————————日期:

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示

数字电子设计_八路抢答器介绍

数字电子技术 课程设计任务书 专业 班级 姓名 学号 指导老师 年月日 学院

目录 摘要 第一章设计技术要求 第二章系统的组成框图及工作原理第三章单元电路设计 1.1 抢答电路的设计 1.2 定时电路的设计 1.3 报警电路的设计 1.4 时序控制电路的设计 第四章整机电路的设计 第五章元件清单 第六章参考文献 第七章设计总结

摘要 进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。 主要介绍了数码显示八路抢答器电路的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能,若超过抢答时间则抢答无效。 该抢答器主要运用到了编码器,译码器和锁存器:它采用74LS148来实现抢答器的选号,采用74LS279芯片实现对号码的锁存,采用74LS192实现十进制的减法计数,采用555芯片产生秒脉冲信号来共同实现倒计时功能。 关键词: 抢答器编码译码定时报警 第一章设计技术的要求 (1)设计8路抢答器,编号与参赛选手一一对应。 (2)具有优先显示抢答序号及时间的功能并禁止其他选手的抢答。(3)主持人预置抢答时间,控制比赛的开始与结束。 (4)报警电路:主持人按下“开始”键时报警并进入抢答状态;当抢答者发出抢答信号时报警提示;在规定抢答终止时间到时报警。 第二章系统的组成框图及工作原理 抢答器的组成框图

《综合课程设计》教学大纲(完整资料).doc

此文档下载后即可编辑 《综合课程设计》教学大纲 课程名称:综合课程设计 英文名称:Integrated Course Project for Communication Systems 总学时:3周,理论学时:实验学时:学分:3 先修课程要求: 电路分析、模拟电子技术、数字电子技术、高频电子线路、通信原理、FPGA原理与应用、Matlab与通信仿真技术、微机原理与接口技术、单片机技术及应用、计算机网络等 适用专业:通信工程 教学参考书: 樊昌信等编,《通信原理(第六版)》,国防工业出版社,2006年 马淑华等编,《单片机原理及应用》,北京航空航天大学出版社,第1版 褚振勇等编,《FPGA原理与应用》,西安电子科技大学出版社,第2版 谢希仁等编,《计算机网络》,电子工业出版社,第4版 1课程设计在培养方案中的地位、目的和任务 《综合课程设计》是配合本科通信工程专业的专业基础课程《通信原理》、《FPGA原理与应用》、《Matlab与通信仿真分析》、《单片机技术及应用》、《计算机网络》而开设的重要专业实践环节。目的是培养学生科学理论结合实际工程的能力,通

过该课程设计,要求学生在掌握通信基本理论的基础上,运用Matlab、FPGA、NS-2等工具对通信子系统或计算机网络进行仿真与设计,并计算基本性能指标,从而提高学生的综合设计实践能力。 另一方面,也可通过课程设计使学生深入理解单片机的基本原理,硬件结构和工作原理。掌握程序的编制方法和程序调试的方法,掌握常用接口的设计及使用。掌握一般接口的扩展方法及接口的调试过程。为学生将来在通信工程、电子信息工程、测试计量技术及仪器、电子科学与技术及其它领域应用单片机技术打下良好基础及应用实践能力。 2 课程设计的基本要求 1. 学习基本设计方法;加深对课堂知识的理解和应用。 2. 完成指定的设计任务和实验任务,理论联系实际,实现书本知识到工程实践的过渡。 3. 学会设计报告的撰写方法。 3 课程设计的内容 1. 无线收发信机部件设计 2. 数字调制与解调器的设计 3. 特殊信号产生器的设计 4. 同步信号提取 5. 编码译码器

八路抢答器数电课程设计

课程设计说明书 课程设计名称:脉冲数字电路课程设计课程设计题目:八路抢答器 学院名称:信息工程学院 专业:电子信息工程班级: 学号:姓名: 评分:教师: 20 12 年 10 月 26 日

数字电路课程设计任务书 20 12 -20 13 学年第 1学期第 6 周- 7 周 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份

备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档 。 摘要 在市场上可能有很多的八路数显智力竞赛抢答器,但是本设计从最基本的原理出发,结合数字电路知识设计出的只是利用到了最基本的复位方式。本设计介绍了数码显示八路抢答器的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有最基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统将报警,提示本轮抢答无效。若超过抢答时间,也无效。 本设计中抢答器主要运用到了编码器,译码器和锁存器;它采用74ls148来实现抢答器的选号,采用74ls373来实现对号码的锁存。采用74ls192实现十进制的减法计数,采用555芯片产生秒脉冲信号来实现倒计时功能。由555定时器和三极管构成报警电路。 通过此课程设计提高和巩固了专业知识,以及知识的综合应用和焊接技术。增强了所学专业的兴趣。 关键词:抢答器编码译码定时报警

目录 前言 (5) 第一章设计内容及要求 (6) 1.1设计任务及要求 (6) 1.1.1实验目的 (6) 1.1.2设计要求 (6) 第二章系统组成及工作原理 (7) 2.1系统组成 (7) 2.2工作原理 (7) 第三章模块电路设计及其工作原理 (8) 3.1 抢答电路的设计 (8) 3.2报警电路设计 (12) 3.3定时电路设计 (13) 3.4时序电路的设计 (14) 第四章实验仿真及测试结果分析 (15) 4.1抢答电路仿真 (15) 4.2倒计时电路仿真 (16) 第五章实验结果及分析 (17) 5.1实验中出现的问题及解决方法 (17) 5.2实验结果 (18) 第六章实验总结 (19) 参考文献 (20) 附录1 (21) 附录2 (22) 附录3 (23)

综合课程设计

可用C++(Visual C++ 6.0),JA V A(JSP,STRUTS),C#(https://www.360docs.net/doc/8411895187.html, ,Visual Studio 2005),试题目而定。 1、综合购物频道(限最多3人选) 项目描述:是一个在线销售系统,是一个B-C模式的电子商务系统,由前台的B/S模式购物系统和后台的C/S模式的管理系统两部分组成。该电子商务系统可以实现会员注册、浏览商品、查看商品详细信息、选购商品、取消订单和查看订单等功能,前台系统的详细功能。目的:了解项目开发的一个基本流程以及如何运用现行的框架搭建一个大型的综合型系统2、某大型企业内部OA(限最多3人选) 项目描述:采用网络办公自动化系统,不仅能快速提高企业的运作效率,节省大量的办公费用,能全面提升企业的核心竞争力和生产力以及提高工作效率。该企业内部OA系统采用模型组件与WEB技术结合的方式,具有强大的功能,广泛的适用性、可靠安全性和可扩展性。目的:学习运用当前热门的前台技术。 3、产品展示厅(限最多3人选) 项目描述: 在互联网发达的今天,当您想客户宣传自己的产品时,最好的方式是拥有自己的网站,通过网络来传播和展示您的产品信息。产品展示系统,为客户详细介绍自己的产品,提供了一个功能强大的平台。 系统界面友好、功能强大、操作简便,用户可以方便迅速掌握系统的操作。 4人事管理系统(限最多3人选) 项目描述:人事档案完整资料、人事分类管理(员工户口状况、员工政治面貌、员工生理状况、员工婚姻状况、员工合同管理、员工投保情况、员工担保情况)、考勤管理、加班管理、出差管理、人事变动管理(新进员工登记、员工离职登记、人员变更记录)、员工培训管理(员工培训、员工学历)、考核奖惩、养老保险等几大模块。系统具有人事档案资料完备,打印灵活,多样、专业的报表设计,灵活的查询功能等特点。 主要技能:掌握项目的开发流程:需求分析、详细设计、测试等;熟悉VC的多文档的开发技能和技巧;利用ADO技术操作SQL Server数据库;掌握数据库的开发和操作技能。 5、即时通讯系统(限最多3人选) 项目描述:系统采用UDP协议,具有:收发在线和离线消息、添加/删除好友、服务器端存储好友列表、在客户端存储好友资料和聊天记录、添加/删除好友组、可以群发消息、收发文件等功能。 主要技能:掌握项目的开发流程:需求分析、详细设计、测试等;熟悉VC的网络通信的开发技能和技巧,包括:TCP和UDP协议、线程等;利用ADO技术操作SQL Server数据库; 6、推箱子(限最多3人选) 【规则】本游戏的目的就是把所有的箱子都推到目标位置上。箱子只能推动而不能拉动。一次只能推动一个箱子。 经典的推箱子是一个来自日本的古老游戏,目的是在训练你的逻辑思考能力。在一个狭小的仓库中,要求把木箱放到指定的位置,稍不小心就会出现箱子无法移动或者通道被堵住的情况,所以需要巧妙的利用有限的空间和通道~! 7、贪吃蛇(限最多3人选) 【规则】: A 用键盘的方向键控制蛇的上下左右移动。 B 游戏分为三种难度,SLUG为慢速,每吃一朵花得1分;WORM 为中速,每吃一朵花得2分;PYTHON为快速,每吃一朵花得3分。 C 游戏目标:操纵屏幕上那条可爱的小蛇,在黑框中不停吃花,而每吃一朵

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

数电课程设计八路抢答器

数字电子技术课程设计报告八路智力竞赛抢答器的设计 专业:电子信息科学及技术 班级: 2012级1班 姓名: 学号: 指导老师: 电子通信及物理学院 日期: 2015 年 1 月 10 日

指导教师评语

1设计要求 在当代社会中企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。过去在举行的各种竞赛中我们经常看到有抢答的环节,举办方多数采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。人们于是开始寻求一种能不依人的主观意愿来判断的设备来规范比赛。因此,为了克服这种现象的惯性发生人们利用各种资源和条件设计出很多的抢答器,从最初的简单抢答按钮,到后来的显示选手号的抢答器,再到现在的数显抢答器,其功能在一天天的趋于完善不但可以用来倒计时抢答,还兼具报警等等功能,有了这些更准确地仪器使得我们的竞赛变得更加精彩纷呈,也使比赛更突显其公平公正的原则。在这一背景下本文利用74LS系列芯片设计了一种有效、便捷的八路数字抢答器。 设计要求如下:利用数字电路设计一个八路抢答器,允许八路参加,并具有锁定功能,用LED显示最先抢答的队号码,系统设置外部清除键,按动清除键,LED显示器

自动清零灭灯。数字显示功能:数字抢答器定时为30S,启动开启键以后要求Ⅰ)定时开始;Ⅱ)扬声器要短暂报警;Ⅲ)发光二极管亮灯;如果在30S内抢答有效,计时结束,30S内抢答无效,发光二极管灯灭。 2 设计任务 本次描述的八路抢答器功能指标为:设计一个能支持八路抢答的智力竞赛抢答器;主持人按下开始抢答的按键后,有短暂的报警声提示抢答人员抢答开始且指示灯亮表示抢答进行中;在开始抢答后数码管显示30秒倒计时;有抢答人员按下抢答键后,在数码管上显示抢答成功人员的编号,倒计时暂停,同时后续抢答人员的抢答将无效;当主持人再次按下按键回到复位状态,倒计时的数码管保持显示30,显示人员编号的数码管灭,指示灯灭。 本次设计的电路由包括抢答电路、定时电路、报警电路在内的三部分电路组成。抢答电路由按键、锁存器、优先编码器、数码管译码驱动器等器件组成;定时电路由555定时器、计数器、锁存器、数码管译码驱动器、开关等器件组成;报警电路由蜂鸣器、单稳态脉冲触发芯片等器件

综合课程设计报告

综合课程设计报告

摘要 本报告介绍了一个运用c++设计一个个人的记账软件具体过程。实现了添加、查询、删除、修改等功能。能够大致的记录个人的收入支出情况。 开发背景 个人理财在中国得到大众的认可和金融机构的重视是近几年的事情。人们对个人理财的重视程度,与我过市场经济制度不断完善、资本市场的长足发展、金融产品的日趋丰富以及居民总体收入水平的上升等等是分不开的。可是比起发达国家我们的理财观念还远远不足。 可是理财并不困难,并非非要靠个人理财专业人士的建议才能身体力行。只要了解收支状况、设定财务目标、拟定策略、编列预算、执行预算到分析成果这六大步骤,便能够轻松的达成个人的财务管理。至于要如何预估收入掌握支出进而检讨进则有赖于平日的财务记录,也就是需要一款便于记账的软件。 最近越来越多的人具有记账的习惯。家庭、个人的收入支出结构在日益变化,单纯的靠本子记录收入支出无法满足对于收入支出结构的统计分析,因此以个人用户为目标的记账软件应运而生。相应的各种面向家庭以及个人的理财软件也越来越多。可是众多个人理财软件操作专业,对于个人用户而言功能过于强大,分析

数据用语也不易理解。因此开发一个操作简便、统计结果直观并对个人用户理财有参考价值的记账软件无疑能为广大个人用户提供方便。 总而言之,在不久的将来家庭使用理财软件也将成为国内家庭的必须品。能提供简单明了的功能以及操作的记账软件更是被广泛需要。这种软件也会为提升人们的胜过品质发挥它最大的作用。 技术背景 C语言是国内广泛使用的一种计算机语言,学会使用c语言进行程序设计是计算机工作者的一项基本功。对于我们大学生来说,学习这样一门c程序课程更是有必要。此次课程设计我所采用的环境是vc++,使用基本控制结构,如循环和选择,着重实现管理系统的增删改以及查询等典型的功能。程序设计是一门实践性很强的课程,既要掌握概念又要动手编译,更多的是要上机去调试,虽然初学时很麻烦,可是养成习惯后我相信受益匪浅。 开发环境 Vc++,win7. 设计目标 为了满足用户的需要,本系统将实现以下功能: 记录日常收支情况,查找某天的收支情况,插入忘记的收支功

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

哈工大2012数字电路大作业题目

数字电路大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,最佳组合为3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:液体点滴速度监控装置的设计 设计医用点滴速度自动控制装置。假设已在漏斗处设置了一个由红外发射、接收对管构成的传感器,将点滴信号非电量转换成电脉冲信号。 [设计要求] (1)检测点滴速度,并与预定速度值比较,通过控制电机的转向使吊瓶作上升、下降、停止的动作(可以使用红绿黄指示灯表示),从而调整点滴速度,直到实测数据和预置数据相等时为止。 (2)自动调整吊瓶时间小于3分钟,误差范围为预定速度值的10%。 (3)点滴速度的设定范围为20~160滴/分钟 (4)能显示当前点滴速度。 (5)液体停滴时能发出报警。 注:附加功能根据本人能力自行添加(如:带有数字时钟,能显示点滴进行的时间,等等) 题目4:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值

哈工大综合课程设计――双轴转台设计_图文(精)

H a r b i n I n s t i t u t e o f T e c h n o l o g y 课程设计说明书(论文 课程名称:综合课程设计 设计题目:双轴测试转台设计 院系:机电工程学院 班级:1108110班 设计者:崔晓蒙 学号:1110811005 指导教师:陈志刚 设计时间:2014年12月 哈尔滨工业大学 目录

第1 章概述 (2 1.1 课程设计的目的 (2 1.2 课程设计的内容 (2 1.3 课程设计的方法和步骤 (2 1.4 转台课程设计的要求 (3 第2 章转台总体设计 (4 2.1 转台结构类型选择 (4 2.2 转台驱动元件选择 (8 2.3 转台测量元件选择 (9 第3 章转台机械结构设计 (10 3.1 轴系设计 (10 3.2 轴与框架的连接 (12 3.3 框架设计 (15 3.4 配重设计 (16 3.5 限位与锁紧装置设计 (17 第4 章转台驱动元件设计 (19 4.1 传动部件设计 (19 4.2 转动惯量计算 (19 4.3 电机力矩计算 (26

第5 章转台测量元件设计 (28 5.1 角度传感器设计 (28 5.2 角速度传感器设计 (31 5.3 限位开关设计 (32 5.4 走线与滑环 (33 第6 章转台装配工作图设计 (34 6.1 装配工作图绘制要求 (34 6.2 装配工作图尺寸标注 (34 6.3 装配工作图上零件序号、明细栏和标题栏的编写 (34 第7 章转台零件工作图设计 (35 7.1 对零件工作图的绘制要求 (35 7.2 转台主要零件工作图 (35 第8 章编写设计计算说明书 (36 8.1 设计计算说明书的内容 (36 8.2 设计计算说明书格式要求 (36 第9 章课程设计的总结和答辩 (39 参考文献 (4 第1章转台功能分析 1.1 功能分解

哈工大数电实验

姓名班级学号 实验日期节次教师签字成绩 可调频双花型彩灯控制器 1.实验目的 在许多场合可以看到LED彩灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用。为了将数字电路的知识灵活的运用到实际应用中,现设计一个能够控制闪烁频率并且能变换花型的LED彩灯的控制电路。 2.总体设计方案或技术路线 由该控制电路的功能可知,该控制器的电路由三部分构成,第一部分是时钟脉冲发生电路,第二部分是花型选择电路,第三部分是花型产生电路。首先,要做变频,可以通过给定不同频率的时钟脉冲来控制,而555定时器构成的多谐振荡电路恰好有输出不同频率波形的功能,通过改变外接电阻阻值即可轻松改变输出波形的频率,所以这一部分用555定时器来产生不同频率的脉冲,再用2/8分频器分频;受到实验室的实验箱的限制,本次实验仅产生两种花型做演示,选择电路由74LS138译码器完成;花型彩灯的花型需要序列脉冲发生器产生,移位寄存器74LS194组成的脉冲发生器可以实现此功能。 3.实验电路图

4.仪器设备名称、型号和技术指标 实验箱、555定时器*1、74LS138*1、74LS93*1、74LS194*2、74LS20*1、74LS32、5kΩ电阻*1、10kΩ滑动变阻器*1、1μF电容*2 5.理论分析或仿真分析结果 电路接通后,555定时器输出端开始产生脉冲信号,由于此时频率较高,不便于观察,所以需降频,经过2/8分频器之后,频率有明显下降,将此信号接到译码器的G1端,译码器B、C端接低电平,A端手动控制,Y0、Y1端分别接到两个74LS194芯片的CP端,当A接低电平时,Y0有效,此时第一个194芯片被选中,A接高电平,第二个194芯片被选中。下表为要实现的花型,按此表画卡诺图并化简,得到花型1的 D SR=~(Q A Q B Q C Q D),花型1的D SR=~Q D,并由此连接电路。两片194芯片的输出通过或门 9 10000001

数电课程设计方案八路抢答器

惠州学院 HUIZHOU UNIVERSITY 《数字电子技术基础》 ——课程设计项目__ 八路抢答器 __ 专业_ _ 年级班别__ 姓名学号1 姓名学号2 姓名学号3 指导教师 2012年6月 25日

前言 智力竞赛是一种生动活泼的教育方式,而抢答就是智力竞赛中非常常见的一种答题方式。抢答能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。但是,在这类比赛中,对于谁先谁后抢答,在何时抢答,如何计算答题时间等等问题,若是仅凭主持人的主观判断,就很容易出现误判。所以,我们就需要一种具备自动锁存,置位,清零等功能智能抢答器来解决这些问题。在本次课程设计中,将主要设计一个供八人使用的定时抢答器,利用本次设计出的电路制造成的定时抢答器,即可轻松实现在八个人之间进行的抢答比赛中进行控制,使得这一活动更加趣味、公平。

目录 一设计目的 (4) 二设计课题及其技术要求 2.1基本功能 (4) 2.2拓展功能 (4) 三设计总体思路 3.1抢答器的组成框图 (5) 3.2具体电路 (7) (1)抢答电路 (7) (2)定时电路 (8) (3)报警电路 (9) (4)时序控制电路 (10) (5)整机电路 (11) 四元件选择 (12) 五心得体会 (13) 附录:参考文献 (14)

一、设计目的 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能力。 2、培养根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行数字电子电路实验的基本技能。 二、其技术要求 2.1基本功能如下: ①设计一个智力竞赛抢答器,可同时供8名选手或8个代表队去参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灯灭)和抢答的开始。 ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一致保持到主持人将系统清零为止。

哈工大综合课程设计2

哈尔滨工业大学“综合课程设计II”任务书

综合课程设计II 项目总结报告 题目:卧式升降台铣床主传动系统设计 院(系)机电工程学院 专业机械设计制造及其自动化 学生 学号 班号1208108 指导教师 填报日期2015年12月16日 哈尔滨工业大学机电工程学院制 2014年11月

目录1.项目背景分析4 2.研究计划要点与执行情况4 3.项目关键技术的解决4 3.1确定转速系列4 3.2确定结构式4 3.3绘制转速图、传动系统图及核算误差5 4.具体研究内容与技术实现5 4.1确定转速系列5 4.2绘制转速图6 4.3确定变速组齿轮传动副的齿数及定比传动副带轮直径8 4.4绘制传动系统图10 4.5核算主轴转速误差10 4.6传动轴的直径的确定11 4.7齿轮模数的初步计算12 4.8选择带轮传动带型及根数13 5.技术指标分析14 5.1第2扩大组的验证计算14 5.2传动轴2的验算16 5.3主轴组件的静刚度验算18 6.存在的问题与建议21

参考文献22 1.项目背景分析 铣床系指主要用铣刀在工件上加工各种表面的机床。通常铣刀旋转运动为主运动,工件(和)铣刀的移动为进给运动。它可以加工平面、沟槽,也可以加工各种曲面、齿轮等。铣床是用铣刀对工件进行铣削加工的机床。铣床除能铣削平面、沟槽、轮齿、螺纹和花键轴外,还能加工比较复杂的型面,效率较刨床高,在机械制造和修理部门得到广泛应用。 铣床是一种用途广泛的机床,在铣床上可以加工平面(水平面、垂直面)、沟槽(键槽、T 形槽、燕尾槽等)、分齿零件(齿轮、花键轴、链轮、螺旋形表面(螺纹、螺旋槽)及各种曲面。此外,还可用于对回转体表面、内孔加工及进行切断工作等。铣床在工作时,工件装在工作台上或分度头等附件上,铣刀旋转为主运动,辅以工作台或铣头的进给运动,工件即可获得所需的加工表面。由于是多刃断续切削,因而铣床的生产率较高。简单来说,铣床可以对工件进行铣削、钻削和镗孔加工的机床。 2.研究计划要点与执行情况 本设计机床为卧式铣床,其级数12Z =,最小转数 min 28/min n r =,转速公比为 41.1=?,驱动电动机功率 5.5N kW =。主要用于加工钢以及铸铁有色金属;采用高速钢、硬质合金、陶瓷材料做成的刀具。 第一周:准备图版等工具,齿轮和轴的计算完成,进行初步计算并开始画展开草图。 第二周:完成截面草图,验算、加粗。 第三周:撰写项目总结报告。 3.项目关键技术的解决 3.1确定转速系列 根据已知要求的公比,查表得到系统转速系列: 28 40 56 80 112 160 224 315 450 630 900 1250 r/min 3.2确定结构式 13612322=??

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

8路抢答器的数字电路

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 设计人:罗帅学号: 专业:08电气班级:1

成绩:评阅人: 哈尔滨应用技术职业技术学院 8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择;

哈工大综合课程设计:卧式升降台铣床

机械制造装备课程设计项目总结报告题目:工作台面积320×1250mm2 卧式升降台铣 床主传动系统设计 院(系)机电工程学院 专业机械设计制造及其自动化 学生 学号 班号 指导教师韩振宇 填报日期2014年12月10 哈尔滨工业大学机电工程学院制

2014年4月 哈尔滨工业大学机械制造装备课程设计任务书

目录1.项目背景分析 1.1. 综合课程设计II的目的 1.2. 金属切削机床在国内外发展趋势 2. 研究计划要点与执行情况 2.1. 设计任务 2.2. 进度安排 3. 项目关键技术的解决 4. 具体研究内容与技术实现 4.1.机床的规格及用途 4.2.运动设计 1.确定极限转速: 2.确定结构网或结构式: 3.绘制转速图: 4.绘制传动系统图 1)确定变速组齿轮传动副的齿数 2)核算主轴转速误差 4.3.动力设计 1.传动件的计算转速 2.传动轴直径初定 3.主轴轴颈直径的确定 4.齿轮模数的初步计算 4.4.结构设计 4.5.零件的验算 1直齿圆柱齿轮的应力计算 2齿轮精度的确定 3传动轴的弯曲刚度验算 4主轴主件静刚度验算 5. 存在的问题与分析 6. 技术指标分析 参考文献

1. 项目背景分析 1.1.综合课程设计II的目的 机床课程设计,是在金属切削机床课程之后进行的实践性教学环节。其目的在于通过机床主运动机械变速传动系统的结构设计,使学生在拟定传送和变速的结构方案中,得到设计构思、方案分析、结构工艺性、机械制图、零件计算、编写技术文件和查阅技术资料等方面的综合训练,树立正确的设计思想,掌握基本的设计方法,并培养学生具有初步的结构分析、结构设计和计算能力。 1.2.金属切削机床在国内外发展趋势 机床作为加工的母机,总是要保证和提高加工质量和生产率,随着科技的不断进步,各种机床也相应地不断发展与更新,如性能参数的提高、功能的扩大、切削功率的加大,自动化程度的提高,机床动态性能的不断改善,加工精度的不断提高,基础元件的不断创新,控制系统的更新等等。 我国机床工业的发展趋势:根据机床工具工业局对振兴我国机床工业的设想,要在以后相当长时期内限制和压缩落后机床的生产,要化大力气发展高性能、高效率、高水平的适合国民经济需要的“高档”产品,改善机床品种的构成比。重点发展机、电、仪结合的产品。注意在冲压、电加工、激光、等离子加工中应用数控技术。 国外机床工业的发展,特别讲究机床的精度、效率,讲究机床制造工艺技术水平,试验分析与理论研究。从七十年代以来,国外已普遍推广使用数控机床。日本和美国已建成柔性自动化生产车间和柔性自动化工厂,整个机床制造的技术水平和自动检测控制技术已有大幅度提高。 2. 研究计划要点与执行情况 2.1.设计任务 机械制造及其自动化专业的“综合课程设计II”,是以车床和铣床主传动系统

数电课程设计报告《八路数字抢答器》

数字电路课程设计 数字抢答器 设计报告 姓名: 学号: 专业:电子信息工程系 指导教师: 2012年04 月18 日

八路数字抢答器 一、设计目的及任务 1.设计的目的 通过八路数字抢答器的设计实验,要求大家回顾所学数字电子技术的基础理论和基础实验,掌握组合电路、时序电路、编程器件和任意集成电路的综合使用及设计方法,熟悉掌握优先编码器、触发器、计数器、单脉冲触发器、555电路、译码/驱动电路的应用方法,熟悉掌握时序电路的设计方法。达到数字实验课程大纲所要求掌握的基本内容。 2.设计的任务及要求 (1)基本功能 ①设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参赛,他们的选号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号对应分别是S0、S1、S2、S3、S4、S5、S6、S7。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持主持人将系统清零为止。(2)扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目支持人启动“开始”键后,要求定时器立即减计时,并用显示器显示。 ②参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 二、电路设计总方案及原理框图 1. 设计思路 (1)本题的根本任务是准确判断出第一抢答者的信号并将其锁存。实现这一功能可选择使用触发器或锁存器等。在得到第一信号之后应立即将电路的输入封锁,即使其他组的抢答信号无效。同时还必须注意,第一抢答信号应该在主持人发出抢答命令之后才有效。

哈工大初试803信号与系统+数字逻辑电路

2012年硕士研究生入学考试大纲 考试科目名称:信号与系统+数字逻辑电路考试科目代码:[803] 一、考试要求: 要求考生全面、系统地掌握《信号与系统》和《数字电路》课程的基本概念、原理、方法与应用,具有较强的分析、设计和解决问题的能力。 二、考试内容: (一)《信号与系统》部分 1)信号分析的理论基础 a:信号的基本概念和典型信号 b:信号的时域分解与变换,卷积 2)傅里叶变换 a:傅里叶级数,傅里叶变换,傅里叶变换的性质 b:周期信号的傅里叶变换,抽样信号的频谱 3)拉普拉斯变换 a:拉普拉斯变换与反变换 b:拉普拉斯变换的性质 4)Z变换 a:Z变换及其收敛域,Z变换的性质,Z反变换, b:Z变换与拉普拉斯变换的关系 5)连续系统的时域分析 a:连续系统的经典解法 b:零输入响应,冲激响应与阶跃响应,零状态响应 6)连续系统的频域分析 a:傅里叶变换分析法 b:无失真传输条件 c:理想低通滤波器 7)连续系统的复频域分析 a:拉普拉斯变换分析法 b:系统函数,极零点分布与时域响应特性,极零点分布与系统频率特性 c:线性系统的模拟 8)离散系统的时域分析

a:离散系统的描述和模拟 b:差分方程的经典解法,零输入响应和零状态响应9)离散系统的Z域分析 a:离散系统的Z变换分析法 b:离散系统的系统函数及频率响应 10)系统的状态变量分析法 a:状态方程的建立 b:连续系统和离散系统的状态方程解法 (二) 《数字逻辑电路》部分 1)数制与编码 a:数制和编码的基本概念,不同数制之间的转换 b:二进制数的运算 2)逻辑代数基础 a:逻辑代数基本概念,逻辑函数的表示方法 b:逻辑函数的化简及实现 3)门电路 a:TTL门电路工作原理与输入输出特性 b:OC门、三态门(TS)原理与应用,MOS门电路4)组合电路 a:组合逻辑电路的分析与设计方法 b:典型中、小规模集成组合电路原理与应用 5)触发器 a:触发器基本原理与应用 b:不同触发器类型之间的转换 6)时序逻辑电路 a:时序逻辑电路的概念 b:同步时序电路的分析与设计 c:集成计数器和移位寄存器的设计与应用 d:异步时序电路的基本概念 7)算术运算电路 a:数值比较器、加法电路、乘法电路基本原理与应用8)存储器与可编程逻辑器件 a:RAM、ROM的基本原理和扩展 b:可编程逻辑器件的基本原理和应用 9)模数和数模转换

相关文档
最新文档