CIC插值滤波器的FPGA设计与实现

CIC插值滤波器的FPGA设计与实现
CIC插值滤波器的FPGA设计与实现

CIC插值滤波器的FPGA设计与实现

摘要:基于多速率信号处理原理,设计了用于下变频的CIC插值滤波器,由于CIC 滤波器结构只用到加法器和延迟器,没有乘法器,很适合用FPGA来实现,所以本文分析了CIC滤波器的原理,性能及影响参数,借助MATLAB设计符合系统要求CIC 滤波器,并利用Modelsim软件建模仿真,验证CIC滤波器性能是否达到要求。(一)CIC滤波器基本原理

A.CIC滤波器的基本单元

CIC滤波器主要由积分滤波Integrator和梳状滤波Comb两个基本单元部分构成。

典型的CIC滤波器的结构,它由两个基本单元I(积分滤波器)和C(梳状滤波器)级联构成。本设计主要针对插值滤波器,所以插值滤波器的结构示意图如图1-1所示:

图 1-1 3级级联的CIC插值滤波器结构示意图

积分器和梳状滤波器之间是一个采样率转换器,对于CIC插值器而言,它完成在每一个样值后补上R-1个0值的工作,,对于CIC抽取器来说,它完成在实际的抽取工作,每R个样值中取样一个。

R(插值倍数),M(延迟因子,一般取1或者2)以及N(级联级数)是影响CIC 滤波器的三个参数,它们的值需根据通带性能的需求而设定。

(二)CIC插值滤波器的设计流程

根据CIC滤波器的原理,本设计的流程如图2-1所示:

图2-1 CIC 插值滤波器的设计流程图

(三)模型的建立和测试

A .位宽策略

对于数字滤波器,一个不得不考虑的问题是为防止溢出每一级所需的位宽。对于抽取器来说,CIC 滤波器的输出增益为

(*)N

G R M = (3-1)

所以,在全精度的情况下,最后一级输出的位宽为

2log (*)out in B B N R M =+ (3-2)

其中in B 表示输入数据的宽度,为了保证精度,每一个积分器和梳状滤波器的输入输出位宽都为out B 。 对于插值器而言,输出增益为

212,1,2,....2(*)/,1, 2......2i i N i N i N G R M R i N N N --??

== ? ?

=++?? (3-3)

因此,第i 级为避免溢出所需要的位宽为

2log ()i in i W B G =+ (3-4)

最后一级输出位宽为

22log (*)log out in B B N R M R =+- (3-5)

在实际当中,当差分延时M=1时,为保证稳定,所有积分器的位宽在理论值的基础上加一。

max B 不仅是滤波器输出的最大可能的位数,也是每一级滤波器的最大可能位

数。但有时候,输出位宽需要根据实际需要引入四舍五入或截取的方法来减少位数。简单的做法是直接在输出端做四舍五入或者截取,更复杂点的方式是在每一级都做四舍五入或者进行截取。这自然需要研究一下因四舍五入或截取所引起的滤波器输出端误差,具体的方法就是通过单独研究每一级误差源(指每一级滤波器截取或四舍五入引起的误差)的均值和方差,利用统计特性研究滤波器输出端总的均值和方差。

表 3.1不同参数全精度下的输出位宽

根据实际时的滤波器结构,可以认为误差源有2N+1个:2N个滤波器级因四舍五入或截取所产生的误差源和一个输出寄存器因四舍五入或截取所产生的误差源。

对于CIC滤波器的三个基本单元,都不涉及乘法器,而采样率变换器不使用任何运算单元。

(四)CIC滤波器的matlab仿真

在matlab的程序里,分三部分即根据CIC插值滤波器的原理进行描述。

激励信号采样正弦信号,以及白噪声信号的测试模型。测试信号的点数,正弦信号的频率和采样率可以根据需要设定。

1.仿真结果

图4-1是CIC插值滤波器的频率响应曲线,其中正弦频率fs=200Hz,采样率Fs=800Hz。

图4-1 CIC插值滤波器的频响曲线

图4-2是正弦信号做完4倍插值前后曲线的比较

图4-2 正弦信号经过CIC插值滤波器前后的信号(N=3,R=4,M=1)

白噪声信号的频率响应曲线如图4-3所示,而经CIC滤波器处理后的白噪声信号频率响应曲线如图4-4所示。

图4-3 白噪声的频率响应

图4-4 白噪声经过CIC插值滤波器处理后的频率响应(N=3,M=1,R=4)

(五) CIC 滤波器的FPGA 实现

1. 接口设计

图5-1 CIC 插值滤波器的接口符号

表5.1:CIC 滤波器的管脚说明

2.子模块的划分和定义

按照MATALB 仿真时的方式,将子模块划分为积分器(interg ),梳状滤波器(comb )和采样率变换器(in )三个子模块。

图5-2 子模块的划分框图及接口声明 梳状滤波器的实现(M=3):

图5-3 梳状滤波器RTL框图,N=3

积分滤波器的实现(M=3):

图5-4 积分滤波器RTL框图,N=3

采样率变换器(插值补零)的实现:

图5-5 采样率变换器RTL框图

其中cnt为计数器,即实现的插值倍数。

3.测试方式

在传统的设计方法中,一般采用图4-2的方式进行测试,这种方式结构简单,目标明确,但是testbench模块以及其激励信号是不可综合的,因而无法在FPGA 上进行测试和调试。因此本设计testbench的模块流程如下:

图5-6 testbench模块的结构图

这种结构更方便结果的对比,而且是可综合模块,可以在FPGA上进行调试。4测试结果

图5-7是N=3,R=4,M=1时的4倍插值RTL仿真结果。从上到下,依次是输入使能,时钟,输入,复位,使能,插值后的正弦波形,插值前的正弦波形。

图5-7 一个周期128采样点的正弦信号4倍插值RTL仿真结果从图中可以看出CIC滤波器很好的完成了正弦信号的插值工作。

低通插值滤波器说明书

The University of South China 数字信号处理课程设计 说明书 学院名称 指导教师 班级 学号 学生姓名 2010年6 月

设计一个按因子I=5的内插器,要求镜像滤波器通带最大衰减为 0.1dB ,阻带最小衰减为30dB ,过渡带宽不大于20/π,设计FIR 滤波器系数h(n) 一、初始设计 (1) 幅度指标 可以两种方式给出。第一种,叫做绝对指标,它提出了对幅度回应函数|H (jw)| 的要求。这些指标一般可直接用于FIR 滤波器。第二种方法叫做相对指标,它以分贝(dB )值的形式提出要求,其定义为: 0|)(|| )(|log 20max 10≥-=jw jw e H e H dB 经过定义中所包含的归一化,所有滤波器的相对幅频特性最高处的值为0dB ,由于定义式中有一个负号,幅频特性小的地方,其dB 值反而是正的。 绝对指标: [0,wp]段叫通带,δ1是在理想通带中能接受的振幅波动或(容限) [ws, ]段叫做阻带,δ2是阻带中能接受的振幅波动或(容限) [wp,ws]叫做过渡带,在此段上幅度回应通常没有限制,也可以给些弱限制。 低通滤波器的典型幅度指标 相对指标(dB ): p R 是通带波动的dB 值; s A 是阻带衰减的dB 值。 由于绝对指标中的)1(|)(|1max δ+=jw H ,因此 011log 201 1 10 >+--=δδp R , ) (ωj e G c ω 1 1+ p 1- p s p s

11log 201 2 10 >>+-=δδs A 逆向的关系为 20 20 1101101p p R R --+-= δ 20 20 1210 10 )1(s s A A --≈+=δδ (2)低通FIR 滤波器阶数的估计 π ωωδδ2/)(6.1413)lg(20p s s p N ---≈ (3)滤波器结构分析: 整数倍内插器的 FIR 直接实现 整数I 倍内插是在已知的相邻两个原采样点之间等间隔插入I-1个新的采样值。对已知的采样序列)(11T n x 进行D/A 转移,得道原来的模拟信号)(t x a ,然后再对)(t x a 进行较高采样率的采样得到)(22T n y ,这里 21IT T = I 为大于1的整数,称为内插因子。 整数倍内插是先在已知采样序列)(11T n x 的相邻两个样点之间等间隔插入I-1个0值点,然后进行低通滤波器,即可获得I 倍内插的结果。内插方案如图所示: )(11T n x )(22T n v )(22T n y 图中↑ I 表示在)(11T n x 相邻样点之间插入I-1个0值采样,称为零值内插器。 )(11T n x 、)(22T n y 的傅里叶变换为:)(1 jw e X 、)(2jw e Y ,二者均为周期函数,若二 者都用模拟频率Ω表示,则 (1jw e X =)(1T j e X Ω,周期为11/2T sa π=Ω; )(2jw e Y =)(2T i e Y Ω,周期为2sa Ω=112)//(2/2sa I I T T Ω==ππ。 )(22T n v =?? ??? ±±=其它当 02,,0n )(212I I I T n x ↑ I )(22T n h

绝对经典的低通滤波器设计报告

经典 无源低通滤波器的设计

团队:梦知队 团结奋进,求知创新,追求卓越,放飞梦想 队员: 日期:2010.12.10 目录 第一章一阶无源RC低通滤波电路的构建 (3) 1.1 理论分析 (3) 1.2 电路组成 (4) 1.3 一阶无源RC低通滤波电路性能测试 (5) 1.3.1 正弦信号源仿真与实测 (5) 1.3.2 三角信号源仿真与实测 (10) 1.3.3 方波信号源仿真与实测 (15) 第二章二阶无源LC低通滤波电路的构建 (21) 2.1理论分析 (21) 2.2 电路组成 (22) 2.3 二阶无源LC带通滤波电路性能测试 (23) 2.3.1 正弦信号源仿真与实测 (23) 2.3.2 三角信号源仿真与实测 (28)

2.3.3 方波信号源仿真与实测 (33) 第三章结论与误差分析 (39) 3.1 结论 (39) 3.2 误差分析 (40) 第一章一阶无源RC低通滤波电路的构建1.1理论分析 滤波器是频率选择电路,只允许输入信号中的某些频率成分通过,而阻止其他频率成分到达输出端。也就是所有的频率成分中,只是选中的部分经过滤波器到达输出端。 低通滤波器是允许输入信号中较低频率的分量通过而阻止较高频率的分量。 图1 RC低通滤波器基本原理图 当输入是直流时,输出电压等于输入电压,因为Xc无限大。当输入

频率增加时,Xc减小,也导致Vout逐渐减小,直到Xc=R。此时的频率为滤波器的特征频率fc。 解出,得: 在任何频率下,应用分压公式可得输出电压大小为: 因为在=时,Xc=R,特征频率下的输出电压用分压公式可以表述为: 这些计算说明当Xc=R时,输出为输入的70.7%。按照定义,此时的频率称为特征频率。 1.2电路组成

傅里叶变换、数字滤波器设计、标准表插值算法

傅里叶变换 周期函数)(t f T 可表示为: ∑+∞ =++=1 0)sin cos (2)(n n n T t n b t n a a t f ωω 其中: ?-= 2 20)(2T T T dt t f T a ?-= 2 2cos )(2T T T n tdt n t f T a ω ?-= 2 2 sin )(2T T T n tdt n t f T b ω 周期函数)(t f T 的周期为T 。频率T f 1=,角频率T πω2=,n 为正整数。 周期函数)(t f T 的直流分量?-==2 2 0)(12T T T dt t f T a d 。nf f n =为各次谐波的 频率。 周期函数)(t f T 可化为:(三角函数公式:B A B A B A sin sin cos cos )cos(-=+) d t n A t f n n n T ++=∑+∞ =1)cos()(?ω 其中: 2 2n n n b a A += )( n n n a b a r c t g -=? 即周期函数)(t f T 可表示为不同频率成分的正弦函数的和。其中频率f

为基波的频率。 根据欧拉公式θθθsin cos i e i +=,有: 2cos θ θθi i e e -+= i e e i i 2sin θ θθ--= 所以周期函数)(t f T 可表示为: ∑+∞=---+++=10)22(2)(n t in t in n t in t in n T i e e b e e a a t f ωωωω = )2 2(210t in n n t in n n n e ib a e ib a a ωω-+∞=++-+∑ 而 ??? ? ??????-=-??- -tdt n t f i tdt n t f T ib a T T T T T T n n ωωsin )(cos )(122 222 = dt t n i t n t f T T T T )sin (cos )(12 2ωω-?- = dt e t f T t in T T T ω-- ? 2 2 )(1 2n n ib a +=??? ? ??????+??- -tdt n t f i tdt n t f T T T T T T T ωωsin )(cos )(12 222 = dt t n i t n t f T T T T )sin (cos )(12 2ωω+?- = dt e t f T t in T T T ω? - 2 2 )(1

【开题报告】基于FPGA的CIC滤波器的设计与仿真

开题报告 电子信息工程 基于FPGA的CIC滤波器的设计与仿真 一、综述本课题国内外研究动态,说明选题的依据和意义 基于多速率信号处理原理,设计了用于下变频的CIC抽取滤波器,由于CIC滤波器结构只用到加法器和延迟器,没有乘法器,很适合用FPGA来实现。滤波器的结构简单, 需要的存储量小, 是被证明在高速抽取和插值系统中非常有效的单元。 随着数字信号处理算法的不断优化,数字信号处理器(Digital Signal Processors, DSPs)性能的不断提高,数字信号处理技术越来越被广泛地应用在各个领域。数字信号处理技术正朝着高速高性能方向发展,因此这对数字信号处理的手段和工具也提出了更高的要求。 随着现场可编程门阵列(Field Programmable Gate Array, FPGA)制造工艺的不断改进,其集成度和性能的不断提高,采用FPGA对数字信号进行处理越来越受到重视。与DSP相比,FPGA有着不可比拟的优势。一方面,与DSP靠程序指针来运行程序相比,FPGA执行算法的本质是靠电路并行执行的,因此在同样的时钟频率下,使用FPGA完成数字信号处理算法要比使用DSP快得多。另一方面,由于FPGA编程灵活,资源可重新配置,使得在实现数字信号处理时更加灵活,成本更低。因此,FPGA性能的不断提高,能够满足未来复杂数字信号高速实时处理的要求。 用FPGA设计滤波器,无非是是设计一些乘累加单元,其滤波器的各种特性即滤波参数可以通过MATLAB仿真获得。所以首先要做的是确定你滤波器的设计要求,在MATLAB中仿真设计出该滤波器,从而导出滤波器系数,才能在FPGA中使用。 CIC滤波器由于其无需乘法器以及结构特殊,在移动电视直放站的数字信号处理中,可以高效地胜任抽取滤波的任务。然而C1C滤波器也有缺陷,一者通带下垂严重,二者信号折叠带衰减不充分,而且此两者难以兼顾。RS修正法和Kaiser—Hamming补偿法联合使用于CIC滤波器的改进技术中,有效地解决了该问题。 在移动通信系统中,软件无线电的概念已显得越来越重要。众所周知SRC滤波部分的一个重点就是抑制潜在的混叠部分,有一种时变CIC滤波器,他同样是通过先A倍内插再B倍抽样来实现采样率的转换。因此,改进的CIC滤波器在软件无线电中有大的重

简单低通滤波器设计及matlab仿真

东北大学 研究生考试试卷 考试科目: 课程编号: 阅卷人: 考试日期: 姓名:xl 学号: 注意事项 1.考前研究生将上述项目填写清楚. 2.字迹要清楚,保持卷面清洁. 3.交卷时请将本试卷和题签一起上交. 4.课程考试后二周内授课教师完成评卷工作,公共课成绩单与试卷交研究生院培养办公室, 专业课成绩单与试卷交各学院,各学院把成绩单交研究生院培养办公室. 东北大学研究生院培养办公室

数字滤波器设计 技术指标: 通带最大衰减: =3dB , 通带边界频率: =100Hz 阻带最小衰减: =20dB 阻带边界频率: =200Hz 采样频率:Fs=200Hz 目标: 1、根据性能指标设计一个巴特沃斯低通模拟滤波器。 2、通过双线性变换将该模拟滤波器转变为数字滤波器。 原理: 一、模拟滤波器设计 每一个滤波器的频率范围将直接取决于应用目的,因此必然是千差万别。为了使设计规范化,需要将滤波器的频率参数作归一化处理。设所给的实际频 率为Ω(或f ),归一化后的频率为λ,对低通模拟滤波器令λ=p ΩΩ/,则1 =p λ, p s s ΩΩ=/λ。令归一化复数变量为p ,λj p =,则p p s j j p Ω=ΩΩ==//λ。所以巴 特沃思模拟低通滤波器的设计可按以下三个步骤来进行。 (1)将实际频率Ω规一化 (2)求Ωc 和N 11010/2-=P C α s p s N λααlg 1 10 110lg 10 /10/--= 这样Ωc 和N 可求。 p x fp s x s f

根据滤波器设计要求=3dB ,则C =1,这样巴特沃思滤波器的设计就只剩一个参数N ,这时 N p N j G 222 )/(11 11)(ΩΩ+= += λλ (3)确定)(s G 因为λj p =,根据上面公式有 N N N p j p p G p G 22)1(11 )/(11)()(-+= += - 由 0)1(12=-+N N p 解得 )221 2exp(πN N k j p k -+=,k =1,2, (2) 这样可得 1 )21 2cos(21 ) )((1 )(21+-+-= --= -+πN N k p p p p p p p G k N k k 求得)(p G 后,用p s Ω/代替变量p ,即得实际需要得)(s G 。 二、双线性变换法 双线性变换法是将s 平面压缩变换到某一中介1s 平面的一条横带里,再通过标准变换关系)*1exp(T s z =将此带变换到整个z 平面上去,这样就使s 平面与z 平面之间建立一一对应的单值关系,消除了多值变换性。 为了将s 平面的Ωj 轴压缩到1s 平面的1Ωj 轴上的pi -到pi 一段上,可以通过以下的正切变换来实现: )21 tan(21T T Ω= Ω 这样当1Ω由T pi -经0变化到T pi 时,Ω由∞-经过0变化到∞+,也映射到了整个Ωj 轴。将这个关系延拓到整个s 平面和1s 平面,则可以得到

有源低通滤波器设计报告要点

课程设计(论文)说明书 题目:有源低通滤波器 院(系):信息与通信学院 专业:通信工程 学生姓名: 学号: 指导教师: 职称: 2010年 12 月 19 日

摘要 低通滤波器是一个通过低频信号而衰减或抑制高频信号的部件。理想滤波器电路的频响在通带内应具有一定幅值和线性相移,而在阻带内其幅值应为零。有源滤波器是指由放大电路及RC网络构成的滤波器电路,它实际上是一种具有特定频率响应的放大器。滤波器的阶数越高,幅频特性衰减的速率越快,但RC网络节数越多,元件参数计算越繁琐,电路的调试越困难。根据指标,本次设计选用二阶有源低通滤波器。 关键词:低通滤波器;集成运放UA741;RC网络 Abstract Low-pass filter is a component which can only pass the low frequency signal and attenuation or inhibit the high frequency signal . Ideal frequency response of the filter circuit in the pass band should have a certain amplitude and linear phase shift, and amplitude of the resistance band to be zero. Active filter is composed of the RC network and the amplifier, it actually has a specific frequency response of the amplifier. Higher the order of the filter, the rate of amplitude-frequency characteristic decay faster, but more the number of RC network section, the more complicated calculation of device parameters, circuit debugging more difficult. According to indicators ,second-order active low-pass filter is used in this design . Key words:Low-pass filter;Integrated operational amplifier UA741;RC network,

傅里叶变换、数字滤波器设计、标准表插值算法

周期函数匚⑴可表示为: a0 f T (t) 一' (a n cos n t b n sinn,t) 2 n4 其中: T 2 2 a。f T(t)dt T T ~2 T 2 2 a n f T(t) cosn tdt T T ~2 T 2 2 b n f T(t)sin n tdt T T ~2 傅里叶变换 周期函数仲⑴的周期为T 1 2TT 频率f二〒,角频率?二〒,n为正整数。 周期函数匚⑴的直流分量 T d吕J f T(t)dt o f n = nf为各次谐波的 2 T T "2 频率。 周期函数匸⑴可化为:(三角函数公式:cos(A B) = cosAcosB —sin Asin B) ■bo f T (t)二 ' A n cos(n t n) d n T 其中:

即周期函数f T(t)可表示为不同频率成分的正弦函数的和。其中频率f

为基波的频率 根据欧拉公式eF = cosv isinv ,有: cos V sin-3 2i 所以周期函数 f T (t )可表示为: a °严 e 叱+e 』M e 吨-e 』05 f T (t) 八 G b n ) 2 nm 2 2i = a 十孑(a — ib g n OJ 十 a + ib T T 2 2 J f f T (t)cosn ^tdt —i f f T (t)sinn 豹tdt J J 丄 -2 2 一 T 1 1 2 = f T (t)(cosn t -isinn t)dt T T _2 1 丄 2 2 f f T (t)cosnotdt+i Jf T (t)sin n 豹tdt 2 2 T 1 2 = f T (t)(cosn 「t isinn t)dt T T ~2 T =-.f T (t)e in t dt T T a n " b n _ — 2 ~T

多功能滤波器设计仿真与实现

目录 题目 (1) 内容摘要 (1) 设计任务 (1) 设计要求 (1) 方案论证 (2) 电路仿真验证 (5) 实物焊接 (8) 总结 (10) 参考文献 (11) 附录:元件清单 (11)

题目:多功能滤波器设计仿真与实现 内容摘要 为更好的运用所学的知识,加深对电子电路的掌握,达到创新的目的。通过实践制作一个多功能滤波器,学会合理的利用集成电子器件制作电路基于模拟电路的课程设计与制作。本次设计制作一个多功能有源滤波器,使用四个运算放电器,分别实现低通,带通,带阻,高通滤波电路,实现多功能滤波电路的设计。 设计任务 根据要求,完成具有高通、低通、带通、陷波功能的滤波器电路的仿真设计、装配与调试。 设计要求 ①截止频率可调; ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理 并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。

方案论证 电路原理图如图。 图一 基本电路分析 由上图所示的电路原理图可得以下各式。 U R R U R R U R R R R R R i 03 3 21 2023 1 2 5 4 5 01 )||1(U --+ += U U SRC 01021 - = U U S R C 02031 - = ???? ??+-=U R R U R R U 026 8037804 由以上各式可以得到A,B,C,D 四个输出端口的电压增益分别为:A 01,A 02,A 03,A 04。 以下各式为电压增益的复频域表示。

fir低通滤波器设计(完整版)

电子科技大学信息与软件工程学院学院标准实验报告 (实验)课程名称数字信号处理 电子科技大学教务处制表

电 子 科 技 大 学 实 验 报 告 学生姓名: 学 号: 指导教师: 实验地点: 实验时间:14-18 一、实验室名称:计算机学院机房 二、实验项目名称:fir 低通滤波器的设计 三、实验学时: 四、实验原理: 1. FIR 滤波器 FIR 滤波器是指在有限范围内系统的单位脉冲响应h[k]仅有非零值的滤波器。M 阶FIR 滤波器的系统函数H(z)为 ()[]M k k H z h k z -==∑ 其中H(z)是k z -的M 阶多项式,在有限的z 平面内H(z)有M 个零点,在z 平面原点z=0有M 个极点. FIR 滤波器的频率响应 ()j H e Ω 为 0 ()[]M j jk k H e h k e Ω -Ω ==∑ 它的另外一种表示方法为 () ()()j j j H e H e e φΩΩΩ=

其中 () j H e Ω和()φΩ分别为系统的幅度响应和相位响应。 若系统的相位响应()φΩ满足下面的条件 ()φαΩ=-Ω 即系统的群延迟是一个与Ω没有关系的常数α,称为系统H(z)具有严格线性相位。由于严格线性相位条件在数学层面上处理起来较为困难,因此在FIR 滤波器设计中一般使用广义线性相位。 如果一个离散系统的频率响应 ()j H e Ω 可以表示为 ()()()j j H e A e αβΩ-Ω+=Ω 其中α和β是与Ω无关联的常数,()A Ω是可正可负的实函数,则称系统是广义线性相位的。 如果M 阶FIR 滤波器的单位脉冲响应h[k]是实数,则可以证明系统是线性相位的充要条件为 [][]h k h M k =±- 当h[k]满足h[k]=h[M-k],称h[k]偶对称。当h[k]满足h[k]=-h[M-k],称h[k]奇对称。按阶数h[k]又可分为M 奇数和M 偶数,所以线性相位的FIR 滤波器可以有四种类型。 2. 窗函数法设计FIR 滤波器 窗函数设计法又称为傅里叶级数法。这种方法首先给出()j d H e Ω, ()j d H e Ω 表示要逼近的理想滤波器的频率响应,则由IDTFT 可得出滤波器的单位脉冲响应为 1 []()2j jk d d h k H e e d π π π ΩΩ-= Ω ? 由于是理想滤波器,故 []d h k 是无限长序列。但是我们所要设计的FIR 滤波 器,其h[k]是有限长的。为了能用FIR 滤波器近似理想滤波器,需将理想滤波器的无线长单位脉冲响应 []d h k 分别从左右进行截断。 当截断后的单位脉冲响应 []d h k 不是因果系统的时候,可将其右移从而获得因果的FIR 滤波器。

CIC滤波器的原理与设计

CIC 的冲击响应{ 1,010,()n D h n ≤≤-= 其他 ,D 为CIC 滤波器的阶数(即抽取因子), Z 变换后 1 1()1 D z H z z ---=-, 当积分梳状滤波器的阶数不等于抽取器的抽取倍数时,令N=DM(N 为滤波器的 阶数,D 为抽取倍数) 则积分梳状滤波器的传递函数为:)1(11 )(1 DM z z z H ----= M 是梳状滤波器中的延时因子,故称M 为差分延时因子; 其频率总响应为12()()()jw jw jw H e H e H e == sin(/2)sin(/2)wDM w =1()()22 wDM w DM Sa Sa -?? x x x Sa /)sin()(=为抽样函数,且1)0(=Sa ,所以CIC 滤波器在0=ω处的幅度值 为N ,即:DM e H j =)(0; 一般数字滤波器的指标: ()20lg ()()20lg () a p a p a s a s H j H j H j H j ααΩ=ΩΩ=Ω通带最大衰减阻带最小衰减

即: CIC 幅频特性响应曲线图 由其频率响应函数可以看出其主瓣电平最大为D ,旁瓣电平为 21.51 () sin(3/2)/sin(3/2)sin(3/2) j DM H e DM DM ωπωπππ=? == , 旁瓣与主瓣的差值 (用dB 数表示)为: dB A DM s 46.132 3lg 20lg 201===π α 可计算出旁瓣与主瓣的差值约为13.46,意味着阻带衰减很差,单级级联时旁瓣 电平很大,为降低旁瓣电平,增加阻带衰减采用级联的方式,N 级频率响应为: )2()2()()2/sin()2/sin()(ωωωωωQ Q Q Q j Q Sa DM Sa DM DM e H -??=?? ????=, 可得到N 级CIC 的旁瓣抑制 dB Q Q A DM Q Q s )46.13(2 3lg 20)lg( 201?=?==π α 分析一下发现在Q 级联时多出了Q DM 这个处理增益,因此分析一下尽量减少带容差(通带衰减),即,在通带,幅度应尽量平缓;下面就它的幅平响应曲线来分 析: 00()20lg () ()20lg () p s j a p jw a j a s jw a H e H e H e H e αα==

cic滤波器的fpga实现

cic滤波器的FPGA实现 发布时间:2016-01-26 15:07:21 技术类别:CPLD/FPGA 一、关于多采样率数字滤波器 很明显从字面意思上可以理解,多采样率嘛,就是有多个采样率呗。前面所说的FIR,IIR滤波器都是只有一个采样频率,是固定不变的采样率,然而有些情况下需要不同采样频率下的信号,具体例子我也不解释了,我们大学课本上多速率数字信号处理这一章也都举了不少的例子。 按照传统的速率转换理论,我们要实现采样速率的转换,可以这样做,假如有一个有用的正弦波模拟信号,AD采样速率是f1,现在我需要用到的是采样频率是f2的信号,传统做法是将这个经过f1采样后的信号进行DA转换,再将转换后的模拟信号进行以f2采样频率的抽样,得到采样率为f2的数字信号,至此完成采样频率的转换 但是这样的做法不仅麻烦,而且处理不好的话会使信号受到损伤,所以这种思想就被淘汰了,现在我们用到的采样率转换的方法就是抽取与内插的思想。 二、抽取 先来总体来解释一下抽取的含义:前面不是说,一个有用的正弦波模拟信号经采样频率为f1的抽样信号抽样后得到了数字信号,很明显这个数字信号序列是在f1频率下得到的,现在,假如我隔几个点抽取一个信号,比如就是5吧,我隔5个点抽取一个信号,是不是就是相当于我采用了1/5倍f1的采样频率对模拟信号进行采样了?所以,抽取的过程就是降低抽样率的过程,但是我们知道,这是在时域的抽样,时域的抽样等于信号在频域波形的周期延拓,周期就是采样频率,所以,为了避免在频域发生频谱混叠,抽样定理也是我们要考虑的因素 下面来具体来介绍 如上图所示,假如上面就是某一有用信号经采样频率f1抽样得到的频谱,假设这时候的采样频率为8 Khz ,可以通过数格子得到,从0到F1处有8个空格,每个空格代表1Khz,有些朋友可能会问,这不是在数字频域吗,单位不是π吗,哪来的hz?是的,这里是数字频域,采样频率F1处对应的是2π,这里只是为了好解释,我们用模拟频率来对应数字频率。 上面是采样频率为8K的数字信号频域图,现在我要对这个数字信号进行时域抽取,从而来降低信号的采样率,我们知道,一旦我们对数字信号进行时域抽取,那么采样率下降,而采样率就是数字信号频域的波形周期,那么也就是周期下降,所以,我们对信号进行抽取要有个度,要在满足抽样定理的条件下对信号进行抽取,否则就会发生频谱混叠。

LC滤波器设计与制作

现代电子学实验报告设计课题:LC滤波器设计 专业班级: 学生姓名: 指导教师: 设计时间:

LC滤波器的设计 一、实验目的 设计和制作LC滤波器 二、实验设备 TDS2000B数字存储示波器 SP3060型数字合成扫频仪 MT 4080 handheld METER 三、实验内容 (一)ADS仿真 1、定k型LPF(例2.6) 设计截止频率为50MHz,且特征阻抗为50Ω的5阶π形定k型LPF。 步骤: 1)归一化LPF的设计数据对截止频率进行变换,待设计滤波器的截止频率与基准滤波器的截止频率的比值M为: M=待设计滤波器截止频率/基准滤波器的截止频率=50MHz/(1/2π)Hz ≈3.1415927×10^6 2)用这个M值去除基准滤波器的所有电感和电容的值,得到的特征阻抗仍为归一化特征阻抗1Ω,而截止频率从归一化截止频率1/(2π)Hz 变成了50Hz的滤波器的各元件参数。 3)接着把特征阻抗从1Ω换成50Ω。为此要求出待设计滤波器特征阻抗与基准滤波器特征阻抗的比值K。 K=待设计滤波器特征阻抗/基准滤波器特征阻抗=50Ω/1Ω 4)将中间结果滤波器的所有电感值各乘以K,将中间结果滤波器的所有电容值各除以K,即得实验设计结果。 电路图: 衰减和延时:

3、巴特沃斯型LPF(例3.7) 试设计并制作截止频率为1.3GHz且特征阻抗为50Ω的5阶T形巴特沃斯型LPF。 步骤: 以这个归一化LPF为基准滤波器,将截止频率从1/(2π)变换成190MHz,将特征阻抗从1Ω换成50Ω即可得到所要设计的滤波器。 M=待设计滤波器的截止频率/基准滤波器的截止频率=1.3GHz/1/(2π) ≈8.168×10^9 K=待设计滤波器的特征阻抗/基准滤波器的特征阻抗=50Ω/1Ω=50 电路图: 衰减特性和反射损耗:

低通滤波器的设计

低通滤波器的设计 模拟滤波器在各种预处理电路中几乎是必不可少的,已成为生物医学仪器中的基本单元电路。有源滤波器实质上是有源选频电路,它的功能是允许指定频段的信号通过,而将其余频段上的信号加以抑制或使其急剧衰减。各种生物信号的低噪声放大,都是首先严格限定在所包含的频谱范围之内。 最常用的全极点滤波器有巴特沃斯滤波器和切比雪夫滤波器。就靠近ω=0处的幅频特性而言,巴特沃斯滤波器比切比雪夫滤波器平直,即在频率的低端巴特沃斯滤波器幅频特性更接近理想情况。但在接近截止频率和在阻带内,巴特沃斯滤波器则较切比雪夫滤波器差得多。本设计中要保证低频信号不被衰减,而对高频要求不高,因此选择了巴特沃斯滤波器。巴特沃思滤波电路(又叫最平幅度滤波电路)是最简单也是最常用的滤波电路,这种滤波电路对幅频响应的要求是:在小于截止频率ωc。的范围内,具有最平幅度响应,而在ω>ωc。后,幅频响应迅速下降。 因为本设计中要保证低频信号不被衰减,而对高频要求不高,所以选择 二阶滤波器即可。本系统采用二阶Butterworth低通滤波器,截止频率f H=100HZ,其电路原理图如1: 图1 低通滤波器图 根据matlab软件算得该设计适合二阶低通滤波器,FSF=628选Z=10000,则

Z R R FSF Z ?=?=的归一值的归一值 C C 3.2脉象信号的的前置放大 由于人体信号的频率和幅度都比较低,很容易受到空间电磁波以及人体其它生理信号的干扰,因此在对其进行变换、分析、存储、记录之前,应该进行一些预处理,以保证测量结果的准确性。因此需要对信号进行放大,“放大”在信号预处理中是第一位的。根据所测参数和所用传感器的不同,放大电路也不同。用于测量生物电位的放大器称为生物电放大器,生物电放大器比一般放大器有更严格的要求。 在本研究中放在传感器后面的电路就是前置放大电路,由于从传感器取得的信号很微弱,且混杂了一些其他的干扰信号。因此前置放大电路的主要功能是,滤除一些共模干扰信号,同时进行一定的放大。该电路由4部分构成:并联型双运放仪器放大器,阻容耦合电路,由集成仪用放大器构成的后继放大器和共模信号取样电路。并联型双运放仪器放大器的优点是不需要精密的匹配电阻,理论上它的共模抑制比为无穷大,且与其外围电阻的匹配程度无关。集成仪用放大器将由并联型双运放仪器放大器输出的双端差动信号转变为单端输出信号,并采用阻容耦合电路隔离直流信号,可以使集成仪用放大器取得较高的差模增益,从而得到很高的共模抑制比。共模取样驱动电路由两个等值电阻和一只由运放构成的跟随器构成,能够使共模信号不经阻容耦合电路的分压直接加在集成放大器的输入端,避免了由于阻容耦合电路的不匹配而降低电路整体的共模抑制比。此电路中也采用了右腿驱动电路来抑制位移电流的影响。前置放大电路参数选择:此部分总的增益取为1000,其中并联型双运放仪器放大器的增益为5,集成仪用放大器的增益为200。具体设计电路如图2所示

CIC插值滤波器的FPGA设计与实现

CIC插值滤波器的FPGA设计与实现 摘要:基于多速率信号处理原理,设计了用于下变频的CIC插值滤波器,由于CIC 滤波器结构只用到加法器和延迟器,没有乘法器,很适合用FPGA来实现,所以本文分析了CIC滤波器的原理,性能及影响参数,借助MATLAB设计符合系统要求CIC 滤波器,并利用Modelsim软件建模仿真,验证CIC滤波器性能是否达到要求。(一)CIC滤波器基本原理 A.CIC滤波器的基本单元 CIC滤波器主要由积分滤波Integrator和梳状滤波Comb两个基本单元部分构成。 典型的CIC滤波器的结构,它由两个基本单元I(积分滤波器)和C(梳状滤波器)级联构成。本设计主要针对插值滤波器,所以插值滤波器的结构示意图如图1-1所示: 图 1-1 3级级联的CIC插值滤波器结构示意图 积分器和梳状滤波器之间是一个采样率转换器,对于CIC插值器而言,它完成在每一个样值后补上R-1个0值的工作,,对于CIC抽取器来说,它完成在实际的抽取工作,每R个样值中取样一个。 R(插值倍数),M(延迟因子,一般取1或者2)以及N(级联级数)是影响CIC 滤波器的三个参数,它们的值需根据通带性能的需求而设定。 (二)CIC插值滤波器的设计流程 根据CIC滤波器的原理,本设计的流程如图2-1所示:

图2-1 CIC 插值滤波器的设计流程图 (三)模型的建立和测试 A .位宽策略 对于数字滤波器,一个不得不考虑的问题是为防止溢出每一级所需的位宽。对于抽取器来说,CIC 滤波器的输出增益为 (*)N G R M = (3-1) 所以,在全精度的情况下,最后一级输出的位宽为 2log (*)out in B B N R M =+ (3-2) 其中in B 表示输入数据的宽度,为了保证精度,每一个积分器和梳状滤波器的输入输出位宽都为out B 。 对于插值器而言,输出增益为 212,1,2,....2(*)/,1, 2......2i i N i N i N G R M R i N N N --?? == ? ? =++?? (3-3) 因此,第i 级为避免溢出所需要的位宽为 2log ()i in i W B G =+ (3-4) 最后一级输出位宽为 22log (*)log out in B B N R M R =+- (3-5) 在实际当中,当差分延时M=1时,为保证稳定,所有积分器的位宽在理论值的基础上加一。 max B 不仅是滤波器输出的最大可能的位数,也是每一级滤波器的最大可能位

FPGA的CIC滤波器的设计要点

基于FPGA的CIC数字滤波器的设计 摘要:级联积分梳状(Cascade Integrator Comb,CIC)滤波器是数字系统中实现大采样率变化的多速率滤波器,已经证明是在高速抽取和插值系统中非常有效的单元,在数字下变频(DDC)和数字上变频(DUC)系统中有广泛的应用。它不包含乘法器,只是由加法器,减法器和寄存器组成,而且需要的加法器的数目也减少了许多,因此CIC滤波器比FIR和IIR滤波器更节省资源,并且实现简单而高速。本文主要讨论了CIC滤波器的基本原理和基于FPGA的仿真实现方法,具体是采用Verilog HDL语言编程,将滤波器分为积分器模块和梳状器模块2个部分,对每个模块进行具体的功能分析和设计实现,最后通过Modelsim 仿真对滤波器的性能进行分析,验证了设计的正确性。 关键词:CIC滤波器;抽取;FPGA;Verilog HDL the Design of Cascade Integrator Comb Filter Based on FPGA Abstract:CIC (Cascade Integrator Comb, CIC) filter is a digital system to achieve large changes in multi-rate sampling rate filter, which has been proven to be a very effective unit in the high-speed extraction and interpolation system. It is widely used in the digital down conversion (DDC ) and digital up conversion (DUC) systems. It does not contain the multiplier, but just composes by adders, subtractors and registers, and the number of needing adders is reduced a lot. So it takes fewer resources than FIR filter and IIR filter. And the speed of CIC filter is very high and it is also very convenient to realize.This article discusses the basic principles of CIC filter and the simulation way based on FPGA. The modules were described with Verilog HDL. Firstly, the filter was divided into two parts which were integration module and the comb module. Then the function of each module were analyzed and designed. Finally the performance of the filter was analyzed under ModelSim and the correctness of the design was verified. Keywords:CIC filter; Decimation; FPGA; Verilog HDL 1. 引言: 数字滤波是数字信号分析中最重要的组成部分之一,数字滤波与模拟滤波相比,具

高通滤波器 设计

课程设计(论文)说明书 题目:有源高通滤波器 院(系):电子工程与自动化学院 专业:电子信息科学与技术 学生姓名: 学号: 指导老师: 2011年1月19日

摘要 本课程设计利用巴特沃夫滤波器设计方法设计四阶高通有源滤波器,通过RC电路与NE5532集成运放实现。经过调试,实现课程设计要求。 关键字:高通滤波器,四阶,NE5532,巴特沃夫

目录 引言 (4) 1.设计任务及要求 (4) 2.方案框图 (4) 3.方案论证和选择 (4) 4.原理图设计 (5) 4.1理论分析 (6) 4.2实际电路 (7) 5.元件及参数的选择 (8) 5.1器件的选择 (8) 5.2参数的选择 (9) 6.电路板的制作 (9) 6.1绘制原理图 (9) 6.2制作P C B (10) 7.调试过程 (10) 8. 测试结果与分析 (10) 9.总结与心得 (13) 参考文献 (14)

引言 本课程设计利用RC网络与运放通过巴特沃夫滤波器设计方法设计四阶高通有源滤波器。 1、设计任务及要求 设计一个高通滤波器 要求: 1)截止频率fc=100Hz; 2)增益Av=2; 3)阻带衰减速率大于等于40dB/10倍频程; 4)调整并记录滤波器的性能参数及幅频特性。 2、方案框图 图2.1 RC有源滤波总框图 1)RC网络 在电路中RC网络起着滤波的作用,滤掉不需要的信号,这样在对波形的选取上起着至关重要的作用,通常主要由电阻和电容组成。 2)放大器 电路中运用了同相输入运放,其闭环增益 RVF=1+R4/R3同相放大器具有输入阻抗非常高,输出阻抗很低的特点,广泛用于前置放大级。 3)反馈网络 将输出信号的一部分或全部通过反馈网络输入端,称为反馈,其中的电路称为反馈网络,反馈网络分为正、负反馈。反馈对滤波器的稳定性有至关重要的作用。 3、方案论证和选择 一个理想的滤波器应在要求的通带内具有均匀而稳定的增益,而在通带以外则具

插值滤波器设计

插值滤波器设计 1 项目背景 1.1 多采样率数字滤波器 多采样率就是有多个采样率的意思。前面所说的FIR,IIR滤波器都是只有一个采样频率,是固定不变的采样率,然而有些情况下需要不同采样频率下的信号。 按照传统的速率转换理论,我们要实现采样速率的转换,可以这样做,假如有一个有用的正弦波模拟信号,AD采样速率是f1,现在我需要用到的是采样频率是f2的信号,传统做法是将这个经过f1采样后的信号进行DA转换,再将转换后的模拟信号进行以f2采样频率的抽样,得到采样率为f2的数字信号,至此完成采样频率的转换 但是这样的做法不仅麻烦,而且处理不好的话会使信号受到损伤,所以这种思想就被淘汰了,现在我们用到的采样率转换的方法就是抽取与内插的思想。 1.2 抽取 先来总体来解释一下抽取的含义:前面不是说,一个有用的正弦波模拟信号经采样频率为f1的抽样信号抽样后得到了数字信号,很明显这个数字信号序列是在f1频率下得到的,现在,假如我隔几个点抽取一个信号,比如就是5吧,我隔5个点抽取一个信号,是不是就是相当于我采用了1/5倍f1的采样频率对模拟信号进行采样了?所以,抽取的过程就是降低抽样率的过程,但是我们知道,这是在时域的抽样,时域的抽样等于信号在频域波形的

周期延拓,周期就是采样频率,所以,为了避免在频域发生频谱混叠,抽样定理也是我们要考虑的因素 下面来具体来介绍 如上图所示,假如上面就是某一有用信号经采样频率f1抽样得到的频谱,假设这时候的采样频率为8Khz,可以通过数格子得到,从0到F1处有8个空格,每个空格代表1Khz,有些朋友可能会问,这不是在数字频域吗,单位不是π吗,哪来的hz?是的,这里是数字频域,采样频率F1处对应的是2π,这里只是为了好解释,我们用模拟频率来对应数字频率。 上面是采样频率为8K的数字信号频域图,现在我要对这个数字信号进行时域抽取,从而来降低信号的采样率,我们知道,一旦我们对数字信号进行时域抽取,那么采样率下降,

IIR与FIR滤波器设计与比较要点

DSP课程设计 实验报告 FIR与IIR滤波的DSP 实现以及二者的比较 指导教师:高海林 院(系):电信学院 设计人员:白雪学号:07211225 邵辰雪学号:07211243 评语: 指导教师签字: 日期:

目录 一、设计任务书 (2) 二、设计内容 (2) 三、设计方案、算法原理说明 (2) 四、程序设计、调试与结果分析 (11) 五、设计(安装)与调试的体会 (32) 六、参考文献 (34)

一、设计任务书 在信号与信息处理中,提取有用信息就要对信号进行滤波。利用DSP可以实时地对信号进行数字滤波。本设计要求利用DSP的DMA方式进行信号采集和信号输出,同时对外部输入的信号进行数字滤波。在滤波时同时用fir与iir滤波器进行滤波,并比较二者的区别。 二、设计内容 (1)对DMA进行初始化; (2)对A/D、D/A进行初始化; (3)编写DMA中断服务程序,实现信号的实时滤波; (4)利用CCS信号分析工具分析信号的频谱成分,确定滤波器的参数,利用MATLAB设计数字滤波器,提取滤波器参数; (5)设计数字滤波算法,或调用DSPLIB中的滤波函数,实现对信号的fir 滤波。 (6)比较加不同窗和阶数时fir滤波器的滤波效果; (7)设计数字滤波算法,或调用DSPLIB中的滤波函数,实现对信号的iir 滤波。 (8)比较fir数字滤波器与iir数字滤波器的效果 三、设计方案、算法原理说明 (一)硬件原理: McBSP是多通道缓冲串行口,他支持全双工通信,双缓冲数据寄存器,允许连续的数据流。支持传输的数据字长可以是8位、12位、16位、20位、24位或32位。并且内置u律和A律压扩硬件。 McBSP在结构上可以分为一个数据通道和一个控制通道。数据通道完成数据的发送和接受。控制通道完成的任务包括内部时钟的产生、帧同步信号产生、对这些信号的控制及多通道的选择等。控制通道还负责产生接口信号送往CPU,产生同步事件通知DMA控制器。 在CCS集成开发环境中,与McBSP相关的头文件有:regs54xx.h、mcbsp54.h。在这两个头文件中,定义了McBSP串行口的寄存器资源及使用方法。 TLC320AD50C是TI公司生产的SIGMA-DELTA型的16位A/D、D/A转换电路,他的采样速率最高可达22.05kb/s,内涵抗混叠滤波器和重构滤波器,属于模拟接口芯片(AIC),它有一个能与多种昂DSP芯片相连的同步串行通信接口,其采样速率课通过DSP编程来设置。在DAC之前有一个插值滤波器一保证输出信号平

相关文档
最新文档