数显式脉搏测试仪设计方案

数显式脉搏测试仪设计方案
数显式脉搏测试仪设计方案

数显式脉搏测试仪设计方案

一、实习设计目的

实习是对学生运用所学专业理论知识和实践操作技能的一次检验,使学生得到一次全面、系统的实践训练,以巩固所学的理论知识,加强实际操作、独立工作和解决实际问题的能力。同时,培养严谨、团结协作、吃苦耐劳、遵守纪律的良好作风,通过设计实习可达到以下目的:

(1)加深对所学理论知识的理解,更熟练掌握基本理论,且将理论与实际相结合。

(2)学会基本的设计方法,能灵活运用所学理论知识进行设计,为今后的毕业设计打下良好的基础。

(3)对所设计的电路进行实际电路验证,学会基本的调试电路的方法,

二设计引言以及设计概述

(1)引言

人体脉搏计的设计是基于传感器,放大电路,显示电路等基础电路的基础上,实现对人体脉搏的精确测量。其设计初衷是适用于各年龄阶段的人群,方便快捷的测量脉搏次数,并用十进制数显示出来。具体的各部分电路接下来将介绍。随着时代的发展,人类进入了信息化电子时代,传感器技术作为现代技术的主要容将有较大的发展。信息技术包括技术、通信技术和传感器技术。现代人类社会已经进入信息时代,因而信息技术对社会发展,科学进步将起到决定性作用。现代信息技术的基础是信息采集、信息传输与信息处理,他们就是传感器技术、通信技术和计算机技术。传统的脉搏测量用手工测量,通常将指尖轻压动脉向较坚实的面,以使脉搏的感觉传到指尖,如果将动脉压上软的组织,则脉动波会被吸收或抵消,使指尖不易触觉脉动;指尖压在动脉上的力量要适中,用力太重将阻断血流,反而无脉搏产生。这种手工方法虽然简单易行,但容易产生误差,特别是临床住院病人常规的监测上,这种手工测脉搏的方法不仅影响工作效率,并且不能连续监测,无法实时观察。我们设计的数字脉搏计是一种自动测量人体脉搏的仪器,能直观地显示人体每分钟脉搏数,可连续、动态监量,价格便宜,适于普及推广。本此课设设计了一款基于压电传感器的电子脉搏计,实现在30s测量人的脉搏跳动次数,并且将脉搏次数显示出来。该传感器可与电子电路相结合,将脉搏信号转化为模拟电信号,并利用滤波技术等信号处理方法准确的测量人体微弱的脉搏信号,而且可以进一步实现显示记录功能。

(2)概述

随着人们生活水平的提高,心脏疾病的发病率呈上升趋势,已成为威胁人类身体健康的杀手之一,因为心脏病的发作具有突发性和随机性,所以为患者进行实时的测量监控已成为必然的趋势。随着电子科技的不断发展,生命科学和信息科学的结合越来越紧密,许多研究人员都投身于人类的健康事业中。心率:用来描述心动周期的专业术语,是指心脏每分钟跳动次数,已第一声为准,心电信号是一种非常弱且频率较低的一种信号,一般幅值在0.05到5毫伏之间,频率在0.05到100HZ之间,脉搏波:人体心室周期的收缩和舒,导致主动脉的收缩和舒,是血流压力---波的形式从主动脉根部开始沿着动脉系统传播,这种波称为脉搏波。脉搏波所呈现的形态强度,速率和节律等方面的综合信息,反应出许多生理的血流特征,而心率的测量是一种评价人生理状况很好的方法。心率和脉搏在身体正常的时候是相等的。在房颤等心脏疾病的时候可出现不等。因此心率测量问题可以转化为脉搏的测量,而脉搏的测量更容易实现特点。在实际应用中得到广泛应用。脉搏计在实际应用中非常广泛,他是用来测量一个人的心脏跳动次数的电子仪器,也是心电图的主要组成部分,用来测量频率较低的小信号。其原理适用于很多声控器械,它涉及到时序逻辑电路如何设计分析和工作等方面。通过使电路更深刻的了解时序逻辑部件的工作原理,从而掌握如何根据需求设计满足要求的各种电路图,解决生活中的实际问题,将所学的知识应用于实践。

(3)实习目的

加深对所学理论知识的理解,更熟练掌握基本理论,且将理论与实际相结合。学会基本的设计方法,能灵活运用所学理论知识进行设计,为今后的毕业设计打下良好的基础。对所设计的电路进行实际电路验证,学会基本的调试电路的方法和熟悉焊接技术。熟悉脉搏计电路的组成,工作原理和设计方法。掌握多谐振荡器、倍频器、计数器、译码器等工作的原理和使用方法等的特点、用途以及主要参数的计算方法。熟悉集成电路芯片74LS00、74LS160、晶闸管、有源滤波等电路的特点以及主要参数的选择方法。

(4)意义

培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。培养学生的创新能力。

(5)要求

最终的电路要能够实现在15s测量人体1min的脉搏振动的次数,并且将振动的次数通过显示器显示出来。一般来说,正常人的脉搏振动的次数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。

(6)容

人体脉搏计的设计是基于传感器、放大电路、显示电路等基础电路的基础上实现对人体脉搏的精确测量。其设计初衷是适用于各年龄阶段的人群,方便快捷的测量脉搏次数,并用十进制数显示出来。

(7)要解决的主要问题

主要解决传感器、放大与整形、倍频电路、定时电路、计数译码显示这五个单元模块的设计和连接,最终实现要求设计的功能。

三设计方案的论证(理论依据,多种方案的分析比较)

方案一:

(1)传感器是将脉搏跳动信号转换为与此相对应的电脉冲信号。

(2)放大整形电路把传感器的微弱电流,微弱电压放大,整形出去杂散信号。(3)倍频器是将整形后所得到的脉冲信号的频率提高。如将15s传感器所获得的信号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。

(4)控制电路用555保证基准时间控制,4倍频后的脉冲信号送计数、显示电路中。

(5)计数、译码、显示电路用来读出脉搏数,以十进制数的形式由数码管显示出来。

上述测量过程中,由于对脉冲进行了4倍频,计数时间也相应地缩短了4倍15S,而数码管显示的数字却是1min的脉搏跳动次数。用这种方案测量的误差为±4次/min,测量时间越短,误差也就越大。

电路原理框图如图1所示:

图1 方案一脉搏计原理框图

此方案采用脉搏传感器,74LS160计数器集成运放放大电路,555构成的单稳态触发器,D触发器组成的4倍频电路等电路。脉搏传感器作用将脉搏信号转换为响应的电脉搏冲信号。放大电路多种多样,本次试验采用比较简单,廉价的运放电路。由一个运放器和三个电阻就组成了。放大倍数可调。倍频电路对脉搏进行调频,如将15S传感器所获得信号频率4倍频即可得到对应一分钟的脉冲数,从而缩短测量时间。555定时器是为了实验在规定时间完成。本设计采用简单的74LS160作为计数器,因为它是十进制计数器,无需改装,直接使用。因为脉搏测量器所需的上百位的数字。因此将三片74LS160直接进行进位方式连接,即得千进制计数器。结合以上各部分电路容及设计要求分析,以控制电路为枢纽,将经传感器、放大整形电路、倍频电路的脉搏信号和时间信号通过控制电路实现对计数器的控制,使其能够准确的显示脉搏数。根据此框图,各部分电路有如下几

种设计方案:放大电路可以在同相放大器和反相放大器之间选择,二者几乎没有区别,在此选择使用反相比较器,整形电路可以用555构成的施密特触发器或者由运放组成的迟滞电压比较器,考虑到运放的使用较555简单方便,选择用运放构成迟滞比较器,倍频电路的形式很多,可以用锁相倍频器或者异或门倍频器等,由于锁相倍频器电路比较复杂,成本比较高,所以这里采用了能满足设计要求的D触发器组成的4倍频电路。基准时间产生电路的设计方案也较多,可以选择石英晶体振荡电路、555定时器构成的单稳态触发器,因为石英晶体振荡电路还需要接入4060集成芯片,使用比555复杂,所以选择555产生基准时间,计数译码显示电路的计数器设计有较多方案,可以选择160、161、279等芯片均可以设置为10进制来满足要求,在此选择使用普遍,较熟悉的160作为计数器电路。

方案二

测量脉搏跳动固定次数(比如5次,10次)所需的时间,然后转换为每分钟的脉搏数。电路原理图如图2所示。

图2方案二脉搏计原理框图

这两种方案比较起来,第一种更直观,所需的电路结构更简单些;第二种方案的测量误差比较小,但实现起来电路要复杂些。为了使脉搏计轻巧而便宜,通常采用第一种方案。本文进行的设计就基于这一方案。

四、电路设计

数显式脉搏计主要由采样输入电路、脉冲计数电路、基准时间产生电路、译码显示电路四个部分组成。且要求在开始工作15S后能自动停止对脉搏的计数以获得准确的脉搏数。下次工作时通过按钮来清零,重新显示脉搏数。其工作原理简介:电路连接好以后,通过红外光照射人的手指的血脉流动情况,将脉搏信号转换成电平信号,由三极管接受之后经过放大电路将信号放大10倍,流入整形电路成为规则的矩形波,再由倍频电路对此信号进行二倍变频。与此同时,基准时间电路由单稳态555产生一个周期为15秒的信号。整个电路的核心部分控制电路的工作,按下开关,输出为低电平,对计数器和D触发器进行清零,输出高电平。计数器的脉冲信号由锁存器输出信号、时间基准电路的输出信号、倍频电路信号通过三输入与非门产生。由三输入与非门的输出信号作为计数器的时钟信号,驱动计数器计数。计数器是由16进制的74LS160的10进制计数器,三个计数器从左至右分别为百位、十位、个位。十位的计数受各位的影响,只有当个位计数到9后十位的使能端信号才有效。十位开始计数,百位的计数原理同十位。74LS160计数器集成运放放大电路,555构成的单稳态触发器,D触发器组成的4倍频电路等电路。脉搏传感器作用将脉搏信号转换为响应的电脉搏冲信号。放大电路多种多样,本次试验采用比较简单,廉价的运放电路。由一个运放器和三个电阻就组成了。放大倍数可调。倍频电路对脉搏进行调频,如将15S传感器所获得信号频率4倍频即可得到对应一分钟的脉冲数,从而缩短测量时间。555定时器是为了实验在规定时间完成。本设计采用简单的74LS160作为计数器,因为它是十进制计数器,无需改装,直接使用。因为脉搏测量器所需的上百位的数字。因此将三片74LS160直接进行进位方式连接,即得千进制计数器。结合以上各部分电路容及设计要求分析,以控制电路为枢纽,将经传感器、放大整形电路、倍频电路的脉搏信号和时间信号通过控制电路实现对计数器的控制,使其能够准确的显示脉搏数。

(1)传感器

脉搏传感器的作用是将脉搏信号转换为响应的电冲信号。脉搏传感器是脉象检测系统中重要的组成部分,其性能的好坏直接影响到后置电路的处理和结果的显

示。目前典型的脉搏传感器有以下三种:光电类、压阻类和压电类。传感器采用了红外光电转换器,作用是通过红外光照射人的手指的血脉流动情况,把脉搏跳动转换为电信号。传感器信号调节,该部分结构简单R1和R2的值分别选取510Ω和10KΩ,电源采用5V供电,红外线发光管采用TLN104接收三极管采用TLP104。本次设计中是利用函数信号发生器,使用正弦波模拟人体脉搏跳动。其原理电路如图3:

图3 红外光电传感器

(2)放大与整形

由于传感器输出电阻比较高,本次课程设计中的放大电路采用了同相放大器,如图所示,运放采用了OP07,电源电压采用正负5V,放大电路放大倍数为20倍左右。整形电路在这里采用了最为简单的与非门电路。电路如图4所示。

图4 放大与整形电路NE5532AI的功能介绍:

1、等效输入噪声电压

5纳伏/Hz的典型值在1kHz

2、单位增益带宽,典型值为10MHz

3、高直流电压增益,典型值为100V/mV

4、峰峰值输出电压摆幅为32V

典型的电压CC

+=+18V和R

L

=600Ω

5、高转换率,典型值为9V/us

6、宽电源电压围,+3V到+20V

NE5532AI管脚接线图

(3)倍频电路

该电路的作用是对放大整形后的脉搏信号进行4倍频,以便在15s测出1min 的人体脉搏跳动次数,从而缩短测量时间,以提高诊断效率。倍频电路的形式很多,如锁相倍频器、异或门倍频器等,由于锁相倍频器电路比较复杂,成本比较高,所以这里采用了能满足设计要求的D触发器组成的4倍频电路。电路如图5所示。

图5 倍频电路

(4)定时电路

555定时器是为了试验在15s完成任务,使单稳态的时间长度为15s。所以定

时时间为15s。本试验采用555单稳态定时电路。工作原理大概如下:

开关打开,RST、VCC、1端都为高电平,此时比较器1、2都输出高电平,输

出端输出为低电平。触发时:开关打开,RST、VCC、1端都为高电平,此时比

较器1、2都输出高电平,输出端输出为低电平。触发时:触发信号加在触发端,

比较器2输出低电平,锁存器置1,输出端输出高电平。电源通过电阻R6向电

容C2充电,暂稳态开始。经过一个小的延迟时间,触发信号消失,触发端为高

电平。暂稳态结束时:随着电容的充电,当时间达到15s的时候,电容两端电

压为2/3VCC,THR和THI为1/3VCC,此时输出变为低电平。时间常数有t=1.1RC

可以求得。取C5=10nF,C2=4.7uF,R6=2.9MΩ。

图6 定时电路

图7 555部原理图和逻辑图

(5)计数译码显示

这部分电路主要要完成对方波脉冲计数,将计数结果译码显示出来的功能。对于这部分电路,有很多方案都可以实现这个功能,而且电路都很相似,对于计数器,选择曾在这个学期做过的电子技术实验中多次用到的十进制计数器74LS160。电路如图8所示。

图8 计数与显示

芯片使用说明:

74LS160逻辑功能测试

74LS160是中规模集成同步十进制加法计数器,具有异步清零和同步预置数的功能。使用74LS160通过置零法或置数法可以实现任意进制的计数器。其引脚图见图9。

先对74LS160的基本功能进行测试,并将计数器的工作状态填入表9中。

①异步清零:当D R =0时,Q 0=Q 1=Q 2=Q 3=0。

②同步预置:当LD =0时,在时钟脉冲CP 上升沿作用下,Q 0=D 0,Q 1=D 1,Q 2=D 2,

Q 3=D 3。

③锁存:当使能端0EP ET =时,计数器禁止计数,为锁存状态。 ④计数:当使能端EP =ET =1时,为计数状态。

9 74LS160的逻辑功能表

时钟CP 异步清除

D

R 同步置数

LD

EP ET 工 作 状 态 × 0

× × × 清零 ↑ 1 0 × × 预置数 × 1 1 0 1 保持 × 1 1 × 0 保持(但C=0)

1

1

1 1

送数

五、性能的测试

本次课程设计中是利用函数信号发生器,使用正弦波模拟人体脉搏跳动。如图10所示。

图10 函数信号发生器

图9 74LS160引脚图

1.放大电路测试

在本次课程设计中,由于传感器电阻大,所以需要放大电路,放大电路的电路图为图11所示,波形图如图12所示

图11 放大电路

图 12 放大前与放大后的波形对比(A通道为信号源波形,B通道为放大后波形)

(2)倍频电路测试

四倍频电路:

原理图如图13所示,其工作原理为:当a点为低电平稳定时,b点为0。a=b,c=0。当a由低变高时,第一个异或输出为高。给电容充电,b点电压逐渐升高,当电压达到异或门的阈值电压2V时,c点为低。高电平时间由R1,C1的值共同确定。当a点由高到低时,b点电压不变,且电容开始放电,此时,a=!b, c点电位为高,直到电容放电致电压小于2V,c点跳变为低。整个过程组成一个二倍频电路,两个二倍频电路构成一个四倍频电路。输入与输出波形如图14所示。输入脉搏信号用250HZ的矩形波,T=4ms。前级二倍频电路的高电平应为2ms。

=0.7RC=0.7×7K×0.4uF=1.96ms。

经计算得:T

W

。且如果电容过为使上升沿分布均匀,二级倍频电路的高电平应小于1/2T

W

大,则波形可能出现丢步现象。故我们只需要分布均匀的上升沿即可。所以:

T

=0.7RC=0.7×1K×0.1uF=0.07ms

W2

图13 倍频电路

图14 4倍频波形(A通道为频率放大4倍后波形,B通道为信号源波形)

(3)定时电路测试

本次课题中,要求用15秒测出1min的人体脉搏,所以用555定时器使输出端在15秒以为高电平,15秒之后为低电平。电路如图15所示,波形如图16所示。

图15 555定时器部分电路

图16 555定时输出波形

(4)电路整体性能测试

在本次课程设计中当加入一个1Hz,10V的信号源时,在15秒时脉搏计上的示数为60,在题目要求的误差围

图17 加入1hz信号源时15秒所测出的脉搏数

其他测量值如表一所示

输入频率脉搏计显示

1Hz 60

0.5Hz 30

2Hz 120

10Hz 600

六、结论

本次课程设计基本实现了课题的要求,将脉搏跳动信号转换为对应的电脉冲信号,放大整形后进行四倍频,并在15s(基准时间)对此信号计数,便得到了1min脉搏数。

七、性价比

555集成芯片,74LS160,NE5532AI,7400,74LS86以及数码管都是在市场上的销售价格都比较便宜,可以买到,并且常用的芯片,在一定条件上可以代替市场上销售昂贵的脉搏计。此脉搏计,制作简单,方便,成本低廉,性价比良好。

八、实训心得体会

经过为期两周的数字电子技术综合课程设计实习,我学到了很多东西,这一课程设计使我们对课堂上所学的理论知识有了进一步的认识,并增强了我对数字电子技术这门课程的兴趣,我对实验要求有新的提高,增强我对焊接的严谨性,动手能力也有一定的提高,对电路板布线也知道了一些注意事项。经过实训进一步加深对逻辑电路和一些常用IC如74LS160、7400、555等应用的熟悉和掌握。另外,我也发现自己对数电知识和电子设计软件掌握得不够,其次在此次设计过程中由于我们频繁的使用Multisim10这个仿真软件,因此我也进一步熟悉了此软件的使用,同时使用电脑对绘图操作上有了进一步提高。

我首先应选出一个比较该兴趣的课题,然后根据设计题目的技术指标或电路所实现的功能,对各单元模块进行设计,在设计过程中遇到了一些问题,使得我查找各种相关资料,在增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的能力。这一课程设计,使我向更高的精神和知识层次迈向一大步。在以后的学习生活中,我会努力学习,培养自己独立思考的能力,积极参加多种设计活动,培养自己的综合能力,从而使得自己成为一个有综合能力的人才而更加适应社会。最后将各单元模块进行总体设计并画出原理框图。最后我们用仿真软件对我们所设计的电路进行了仿真,经过我们不断地调试才达到我们实际的要求。

通过这次实践,使我对抽象的理论有了具体的认识。也使对我专业知识和专

业基础知识做了一次实际检验和巩固,这次课程设计使我懂得了理论与实际相结

合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结

合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能

力和独立思考的能力。通过实训明白数字电路的安装,焊接过程是一个考验人耐

心的过程,对电路的安装、焊接、分析。调试要一步一步的来,不能急躁,在测

试问题时对电路原理的理解显得尤为重要,只有明白原理才能很好的排除故障,当然,完成此次电路制作与老师的辛勤指导也是有很大关系的,很感老师每天指导我们完成这次实训,总之这次的实训是一次很好的理论结合实际的锻炼,从中受益匪浅。

九、参考文献

[1] 夏路易主编. 数字电子技术基础. [M]:科学,2012年

[2]毕满清主编.电力技术实验与课程设计.[M]:机械工业,2005年

附录I 总电路图

A 04N

附录II 元器件清单

数字人体心率检测仪的设计

数字人体心率检测仪的设计 1.设计思路 本课题研究的是数字人体心率监测仪的设计,我所设计的检测仪,它使用方便,只需将手指端轻轻放在传感器上,即可实时显示出你的每分钟脉搏次数,特别适合体育训练和外出旅游等场合使用。采用红外光学检测法,摒弃了不便于运动状态下测量脉搏的听诊器和吸附在人体上的电极等老式测量方法。检测的基本原理是:随着心脏的搏动,人体组织半透明度随之改变:当血液送到人体组织时,组织的半透明度减小:当血液流回心脏,组织则半透明度增大。这种现象在人体组织较薄的手指尖,耳垂等部位最为明显。因此,本心率检测仪将红外发光二极管产生的红外线照射到人体的上述部位,并用装在该部位的另一侧或旁边的红外光电管来检测机体组织的透明程度并把它转换成电信号。由于此信号的频率与人体每分钟的脉搏次数成正比,故只要把它转换成脉冲并进行整形,计数和显示,即可实时的测出脉搏的次数。 心率与脉搏的联系:心率与脉搏在身体正常的时候是相等的。在房颤等心脏疾病时候可出现不等。因此心率测量问题可以转化为脉搏的测量,而脉搏的测量有更容易实现的特点,在实际应用中得到更广泛的运用。 本检测仪的有效测量范围为50次—199次/分钟。 2 方案设计 2.1 心率采集处理电路 心率采集处理电路如图1-1所示。该部分电路主要由脉搏次数红外检测采集电路模块、信号抗干扰电路模块、信号整形电路模块等三个主要的电路模块组成。其中,红外线发射管D1和红外线接收管Q1组成了红外检测采集电路:R2与C1、C2与C3、R4与C4和ICA共同工程了信号抗干扰电路组,他们分别承担了对信号的低通滤波、干扰光

线的光电隔离、参与高频干扰的滤除等任务。另外,I CB、C5与R10、ICC则共同组成了信号整形电路模块。 图1 光电式脉搏波传感器的原理 其原理是利用光电信号来测量脉搏容量的变化。当血管内容量变化时,组织对光的吸收程度相对发生变化,利用光电传感器可测出这种变化,该变化反映出血液动脉的基本参数情况。根据朗伯特—比尔(lambert—beer)定律,物质在一定波长处的吸光度和他的浓度成正比,当恒定波长的光照射到人体组织上时,通过人体组织吸收、反射衰减后测量到的光强在一定程度上反映了被照射部位组织的结构特征。 光源和光敏元件分别处于被测部位的两侧,光源发出的光线可以经指尖部组织投射到光敏元件所在的窗口,从而有光敏元件检测出脉搏的波动信号,这样纪录的波也有将其作为指尖容积波处理,通常称这种传感器为透射型光电式脉搏波传感器。 本次设计原用的透射型光电式脉搏波传感器,其电路如图2 所示。

便携式心率测试仪(开题报告)

五邑大学 电子系统设计开题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 开题报告日期

一、课题来源、国内外研究现状与水平及研究意义、目的。 1.课题来源 便携式心率测试仪 2.国内外研究现状与水平 便携式医疗设备正不断改进数以百万计患者的医疗保健条件。现在外国的先进运动手表甚至能够无线记录用户的心率。未来,还将有众多能显著改善医疗实施及其效果的创新型医疗应用产品。 满足便携式医疗领域的微处理器需求给半导体企业带来了挑战。虽然工程设计无外乎是在相对立的功能、规范以及空间限制条件之间进行取舍,但是这种平衡取舍在便携式医疗领域往往非常棘手。医疗市场的相关需求往往很难协调,如小尺寸与高功能性、低功耗与高性能模拟,以及超长电池使用寿命与高处理能力等。这些产品需要模数转换器 (ADC)、可调节增益、电源管理以及液晶显示屏 (LCD) 等。这些都将是需要我们更多的去研究和发展。 3.研究意义和目的 以往专门测量心率值的仪器较少,人们为了知道自己的运动或者劳动强度是否超负荷,尤其是老年人或运动员等,他们都得赶到医院而不能实时测量和预知。为了观测“预防为主”的方针,为了实现人人能享受基本医疗保健的目标,把过去的以医院为轴心的医疗服务体系过度到以家庭为基础的社区卫生服务体系已成为必 然趋势。所以便携式医疗仪器已相继问世。便携式心率测试仪属于一种集轻型化、一体化、可视化等优点的测试仪;同时它适合在家庭和社区条件下使用。心电诊断仪、心率计的应用在心血管疾病的研究和诊断方面发挥出显著的作用,它们所记录的心脏活动时的生物电信号,已成为临床诊断的重要依据。该心率仪可用于临床心率监护;并为体力劳动者劳动强度测定、运动员及士兵训练强度测定等提供确凿的和必不可少的生理指标。 二、研究内容,拟采取的研究方法、实验过程、预期成果。(附主要参考文献)1.研究内容 将脉搏通过传感器转为电压信号,再通过不同的集成芯片将电压信号完成放大、滤波、整流等一系列工作,然后利用单片机进行处理计算。实现在任何地点任何时间都能快速检测出人体的心率,达到集轻型化、一体化、可视化等优点于一身的系统。 2.拟采取的研究方法 了解课题所需知识点,然后翻阅相关资料和教材,通过网页搜索查找相关资料,计算各参数,了解各元器件的功能作用,设计电路图,用相关的仿真软件进行仿真,最后进行实物调试。

数显脉搏测试仪课程设计 精品

目录 摘要 (3) 第一章绪论 (4) 1.1 心率测试的意 义 (4) 1.2 心率测试仪的组成框 图 (4) 1.3 心率测试的基本过 程 (5) 第二章基础知识介绍 (6) 2.1PVdF传感 器 (6) 2.1.1敏感部分 (6) 2.1.2电荷放大器 (7) 2.2555定时器 (7) 2.2.1 555定时器的基本功能 (7) 2.2.2 555组成的基本电路及应用 (9) 2.3 十进制加法计数器 74160 (10) 2.4 锁存器 74LS373 (10) 2.5 显示译码器 74LS48 (11) 2.5.1 译码驱动 器 (11) 2.5.2 发光二极管显示 器 (13)

2.6 数值比较器 74LS85 (13) 2.6.1 74LS85的逻辑功能图和引脚图 (13) 2.6.2 74LS85实现的逻辑功能 (14) 第三章电路设计 (15) 3.1 传感器模块 (15) 3.1.1 传感器的选择 (15) 3.2 放大模块 (15) 3.2.1 放大电路 (15) 3.3 整形模块 (16) 3.3.1 电路图 (16) 3.3.2 电压比较器 (17) 3.3.3 单稳态触发器 (17) 3.4 计数模块 (17) 3.4.1 计数电路 (17) 3.4.2 设计说明 (17) 3.5 定时模块 (17) 3.5.1 电路设计 (17) 3.5.2 计算说

明 (17) 3.6 译码显示模块 (18) 3.6.1 设计电路图 (18) 3.7 数值比较模块 (1) 3.7.1 设计电路图 (19) 3.7.2 比较原理说明 (19) 3.8 报警模块 (20) 3.8.1 报警电路........................................................20. 3.8.2 工作原理 (20) 第四章电路综合 (21) 4.1 整体电路介绍 (21) 4.2 整个电路工作过程 (21) 第五章总结 (22) 参考文献 (23) 附图............................................................................ (24)

人体脉搏计--课程设计报告

人体脉搏计 (1) 设计内容及要求 设计题目:设计一个人体脉搏计。 内容简要:人体脉搏计的设计是基于传感器,放大电路,显示电路等基础电路的基础上,实现对人体脉搏的精确测量。其设计初衷是适用于各年龄阶段的人群,方便快捷的测量脉搏次数,并用十进制数显示出来。具体的各部分电路接下来将介绍。 传感器信号:传感器采用了红外光电转换器,作用是通过红外光照射人的手指 的血脉流动情况,把脉搏跳动转换为电信号。 放大电路:由于人体脉搏跳动经过传感器后的初始信号电压值很小,所以利用反相放大器将采集的电压信号放大约50倍。又因为该信号不规则,将接入有源滤波电路,对电路进行低通滤波的同时,再次将电压信号放大1.6倍左右。该电路使信号得到80倍的放大,充分的放大方便了后面的工作电路。 整形电路:本电路旨在采用滞回电压比较器对前面放大以后的信号进行整形,使信号更规则,最终输出矩形信号。 倍频电路 :倍频电路的作用是对放大整形后的脉搏信号进行4倍频处理,以便在15s 内测出1min 内的人体脉搏跳动次数,从而缩短测量时间,以提高诊断效率。 基准时间产生电路:基准时间产生电路的功能是产生一个周期为30s (即脉冲宽度为15s )的脉冲信号,以控制在15s 内完成一分钟的测量任务。具体各部分是由555定时器产生一个周期为0.5秒的脉冲信号,然后用一个D 触发器进行二分频得到周期为1s 的脉冲信号。再经过由74LS161构成的十五进制计数器,进行十五分频,再经D 触发器二分频,产生一个周期为30s 的方波,即一个脉宽为15s 的脉冲信号。 计数、译码、显示电路:计数器采用3个二进制计数器74LS161分别作个、十、百位,并将其设计成十进制计数器(逢十进位),再由7448译码器译码后接到七段数码管LTS547R (共阴极)上完成三位数十进制数的显示。 控制电路:控制电路的作用主要是控制脉搏信号经放大、整形、倍频后进行计数的时间,另外还具有启动电路及为各部分电路清零等功能 设计要求:最终仪器要能够实现在15s 内测量1min 的脉搏数,并且显示其十进制数字。参考值:正常人的脉搏数为60~80次/min ,婴儿为90~100次/min ,老人为100~150次/min 。所以需要三个显示数码管才能完成显示功能。 (2) 系统框图介绍及方案选择 结合以上各部分电路内容及设计要求分析,以控制电路为枢纽,将经传感器、放大整形电路、倍频电路的脉搏信号和时间信号通过控制电路实现对计数器的控制,使其能够准确的显示脉搏数。脉搏计的原理结构图如下: 根据此框图,各部分电路有如下几种设计方案:放大电路可以在同相放大器和反相放大器之间选择,二者几乎没有区别,在此选择使用反相比较器;整形电路可以用555构成的施密特触发器或者由运放组成的迟滞电压比较器,考虑到运放的使用较555简单方便,图1 脉搏计结构框图 控 制 电 路 基准时间产生电路 计数 译 码 显示 传感器 放大与整形 倍频器

心率测试仪设计 开题报告

五邑大学 电子系统设计开题报告题目: 院系电子信息学院 专业电子信息工程 学号 学生姓名陈伟瀚 指导教师张京玲 开题报告日期2011.9.13 五邑大学教务处制 2011年8月

说明 一、开题报告应包括下列主要内容: 1.课题来源及研究的目的和意义; 2.国内外在该方向的研究现状及分析; 3.本课题研究的主要内容; 4.具体研究方案及进度安排和预期达到的目标; 5.预计研究过程中可能遇到的困难和问题,以及解决的措施; 6.主要参考文献。 二、对开题报告的要求: 1.开题报告的字数应在2000字左右; 2.阅读的主要参考文献应不少于5篇,英文参考文献量根据专业的不同确定,本学科的基础和专业课教材一般不应列为参考资料。 3.参考文献采用顺序编码制,即在开题报告引文中按引文出现先后以阿拉伯数字连续编码,序号置于方括号内,并作为上标出现。 4.参考文献书写顺序:序号作者.文章名.学术刊物名.年,卷(期):引用起止页。

一、课题来源、国内外研究现状与水平及研究意义、目的。 1.课题来源 自拟题目。 2.国内外研究现状与水平 科技的创新,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确在测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,因此对脉搏波采集和处理具有很高的医学价值和应用前景。 3.研究意义和目的 脉诊是中医独创的诊断方法,这是由于人体内部各器官的健康状态可以在脉搏信息中反映出来。自古以来,脉诊一直是中医检查病人情况的一种手段。 科学已经证明脉搏波所呈现出来的形态、强度、速率和节律等方面的综合信息,能反映出人体心血管系统中许多生理疾病的血流特征。 随着科学技术的发展,各个学科之间的结合越来越紧密。而心率检测仪(脉搏测量仪)就是科学发展下,信息学科与生命学科结合的一种产物。 二、研究内容,拟采取的研究方法、实验过程、预期成果。(附主要参考文献)1.研究内容 1.便携式心率测试仪的第一部分基本功能: 心率信号由传感器(例如光电传感器) 模块进行采集 采集后的信号经过放大和滤波(特别滤除50HZ信号的干扰),进行整形后,得到幅值在0~5v的脉冲信号 2.便携式心率测试仪的第二部分基本功能: 可选用单片机进行心率测定,在数码管上显示出被测者心率 也可选用可编程器件PLD(进行仿真)进行心率测定和显示 2.拟采取的研究方法 综合各方面因素,决定采取光电传感器来抓取心率信号。 血液是高度不透明的液体,光照在一般组织中的穿透性要比血液中大几十倍,据此特点,采用光电效应手指脉搏传感器来拾取脉搏信号。反向偏压的光敏二极

(最新版)基于单片机的脉搏测量仪的设计开题报告毕业论文

本科毕业设计 ( 论文) 开题报告 题目: 基于单片机的脉搏测量仪 的设计 课 题 类 型:设计丁实验研究□论文口 学 生 姓 名: 学 号: 专 业 班 级: 学 院: 信息工程学院 指 导 教 师: 开 题 时 间 年月日 开题报告内容与要求 一、毕业设计(论文)内容及研究意义(价值) 随着科技发展的不断提高, 生命科学和信息科学的结合越来越紧密, 出现了各种新 颖 的脉搏测量仪器,特别是电子脉搏仪的出现,使脉搏测量变得非常方便。 脉诊在我 国已具有

2600 多年临床实践,是我国传统中医的精髓,但祖国传统医学采用“望、闻、问、切”的手段进行病情诊断,受人为的影响因素较大,测量精度不高。科技的创新,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确的测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,有较好的应用和推广价值。 脉搏测量仪的设计,必须是通过采集人体脉搏变化引起的一些生物信号,然后把生物信号转化为物理信号,使得这些变化的物理信号能够表达人体的脉搏变化,最后要得出每分钟的脉搏次数,就需要通过相应的硬件电路及芯片来处理物理变化并存储脉搏次数。在硬件设计中一般的物理信号就是电压变化。本系统的组成包括传感器、信号处理、单片机电路、显示电路、键盘输入等部分。 二、毕业设计(论文)研究现状和发展趋势(文献综述) 随着科学技术的发展,脉搏测量技术也越来越先进,对脉搏的测量精度也越来越高,国内外先后研制了不同类型的脉搏测量仪,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确在测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,有较好的应用和推广价值。而其中关键是对脉搏传感器的研究。起初用于体育测量的脉搏测试集中在对接触式传感器的研究,利用此类传感器所研制的指脉、耳脉等测量仪各有其优缺点。指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降:耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。过去在医院临床监护和日常中老年保健中出现的日常监护仪器,如便携式电子血压计,可以完成脉搏的测量,但是这种便携式电子血压计利用微型气泵加压橡胶气囊,每次测量都需要一个加压和减压的过程,存在体积庞大、加减压过程会有不适、脉搏检测的精确度低等缺点。 脉搏测量仪的发展主要向以下几个趋势发展: (1)自动测量脉搏并且对所得到的脉搏进行自动分析。目前很多脉搏测量仪都具有检测

人体脉搏计

电子课程设计目录 第一部分电子课程设计题目及要求 1.题目 (1) 2.设计目的 (1) 3.设计内容及要求 (1) 4.脉搏计的基本原理 (1) 第二部分设计方案 1. 提出方案 (2) 2. 方案比较 (3) 第三部分电路设计与分析 (4) 1. 信号发生与采集 (4) 2. 放大电路 (4) 3.有源滤波电路 (5) 4.整形电路 (7) 5.倍频器 (9) 6.基准时间产生电路 (10) 6.1 NE555定时器 (10) 6.2 用555定时器构造施密特触发器 (11) 6.3 用施密特触发器构造多谐振荡器 (12) 7.计数译码器 (13) 7.1 计数电路 (13) 7.2 译码显示 (14) 8.控制电路 (17) 第四部分所用元件及实验心得 (18) 1.元件列表 (18) 2.实验心得 (18) 3.参考文献 (18) 附:总原理图 (19)

第一部分电子课程设计题目及要求 1. 题目人体脉搏计 2.设计目的 2.1熟悉脉搏计电路的组成、工作原理和设计方法。 2.2掌握多谐振荡器、倍频器、计数器、译码器等的工作原理、使用方法、特点、用途及主要参数的计算方法。 2.3熟悉集成电路74LS00、74LS161、CC4518、CC4511、晶闸管、有源滤波电路的特点、用途及主要参数的选择方法。 3.设计内容及要求 3.1设计题目:设计一个脉搏计。 3.2要求:实现在15s内测量1min的脉搏数,并且显示其数字。正常人的脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 3.3放大与整形电路 放大电路:电压放大倍数u A 约为11倍,选R 4 =100 KΩ,C 1 =100μF。试选择其它元 件参数。有源滤波电路:电压放大倍数选用1.6倍左右。运放可均采用LM324,也可选其它型号运放。 整形电路:选用滞回电压比较器,集成运放采用LM339,其电路参数如下:R 10 =5.1KΩ, R 11=100 KΩ,R 12 =5.1 KΩ。 倍频电路:异或门选用可采用CC系列、也可采用TTL系列。基准时间产生电路:试选择电路其它未知参数。 计数、译码、显示电路:试选择电路其它未知参数。 控制电路:试选择电路其它未知参数。 4.脉搏计的基本原理 分析设计题目要求脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。由给出的设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几个毫安),它的基本功能应该是 ①用传感器将脉搏的跳动转换为电压信号,并加以放大整形和滤波。 ②在短时间内(15s内)测出每分钟的脉搏数。 简单脉搏计的框图如图1所示。 图1.1 脉搏计原理框图

心率测试仪

1.消抖电路: 2.分频器: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fpq is port (clk: in std_logic; f50k:buffer std_logic:='0'; f1k:buffer std_logic; f5k:buffer std_logic; f2k:buffer std_logic; f100hz:buffer std_logic; f2hz:buffer std_logic; f1s:buffer std_logic; f6s:buffer std_logic:='1'); end fpq; architecture one of fpq is signal count_6s,count_100: std_logic_vector(3 downto 0); signal count_1m,count_1s,count_05s,count_1k,cou nt_2k, count_5k: std_logic_vector(8 downto 0); signal count_hec:std_logic_vector(9 downto 0); signal fpq_hec:std_logic_vector(9 downto 0); Begin --50khz process(clk) begin if(clk'event and clk='1')then if(count_1m="011111001")then--500分频(系统时钟25MHz) count_1m<="000000000"; f50k<=not f50k; else count_1m<=count_1m+1; end if; end if; end process; --5Khz process(f50k) begin if(f50k'event and f50k='1')then if(count_5k="00000100")then--10分频count_5k<="000000000"; f5k<=not f5k; else count_5k<=count_5k+1; end if;

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

便携式心率测试仪电子系统设计报告

五邑大学 电子系统设计结题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 报告日期2012.12.18

目录 1、摘要 (2) 2、课题研究意义 (2) 2.1.背景 (2) 2.2 设计任务与要求 (2) 3、方案设计说明 (2) 3.1硬件电路原理分析说明 (2) 3.1.1信号放大电路 (2) 3.1.2滤波电路 (3) 3.1.3整形电路 (4) 3.1.4单片机信号处理电路 (4) 3.1.5数码管显示电路 (5) 3.2软件设计 (6) 3.2.1编程环境与开发工具 (6) 3.2.2源程序及注解 (7) 4、调试过程遇到的问题与解决的方法 (9) 5、5、设计总结及体会 (9) 6、参考文献 (9) 7、附录 (10)

1、摘要 本文设计了一种基于STC89C51单片机实现的便携式心率测试仪.接受心率测试检测模块发送的信号并对信号进行检测分析并显示,从而实现心率测试功能。该系统的硬件单元包括信号放大电路、滤波电路、整形电路、单片机控制电路和数码管显示电路。采用了放大电路后,使得采集的脉搏信号放大到整形电路要求的电压幅度。滤波电路消除了干扰,得到特定频率的低频信号。整形电路把模拟信号转换成单片机能够处理的数字信号。单片机内的处理程序将接收到的信号进行监测分析,得出心率值,经单片机I/O口发送给由数码管组成的显示模块显示。 2、课题研究意义 2.1背景 1)健康的重要性不言而喻,越来越多的研究表明心率是健康极其重要的指标。一般人们为了知道 自己的运动或者劳动强度是否超负荷,尤其是老年人、运动员等,他们都得赶到医院而不能实时 测量和预知。为了贯彻党和国家“预防为主”的医疗方针,满足人们能享受基本医疗保健的愿望, 便携式心率测试仪应运而生,也极具市场潜力。 2)心脏病人往往需要经常去医院定期心脏检测,此仪器可以随时将病人的心脏情况记录和保存, 并发送给医生,从而给病人带来便捷也有助于治疗;当心脏类疾病突发时,也可以提前将心脏情 况发送给医生,从而缩短救援时间,提高救援成功率。 2.2设计任务与要求 2.2.1设计任务:设计基于C51单片机的便携式心率测试仪。 2.2.2要求:(1)设计脉搏波放大、滤波、整形电路,实现所采集的脉搏信号的放大、滤波、 整形。 (2)设计单片机电路及处理程序与数码管显示电路,实现心率信号的处理与正 确显示。 3、方案设计说明 3.1硬件电路原理分析说明 3.1.1信号放大电路 作用:将采集的幅度值过小的心率信号放大到足够大的幅值。 原理:电路如图所示:利用运算放大器实现反向比例放大电路。运算放大器在深度负反馈的条件下 工作于线性区,根据“虚短”和“虚断”的概念对以上电路进行分析,可得: 放大器增益Ua=-R17/R16=20 电路采用LM324双极型线性集成放大器,有直流电压增益高(约

测试技术课程设计脉搏测量仪

《机械工程测试技术》 课程设计 脉搏测量仪的设计 姓名:张峰 学院:机电工程学院 专业:机械设计制造及其自动化班级:2010级本科4班 学号:201015130457 完成日期:2012年12月28日

摘要 医院的护士每天都要给住院的病人把脉记录病人每分钟脉搏数,方法是用手按在病人腕部的动脉上,根据脉搏的跳动进行计数。为了节省时间,一般不会作1分钟的测量,通常是测量10秒钟时间内心跳的数,再把结果乘以6即得到每分钟的心跳数,即使这样做还是比较费时,而且精度也不高。本文介绍一种用单片机制作的脉搏测量仪,只要人把手指放在传感器内2秒钟就可以精确测量出每分钟脉搏数,测量结果用三位数字显示。 关键词:AT89C2051;单片机;脉搏测量仪

目录 第一章引言 (1) 第二章基本结构模块 (2) 2.1脉搏波检测电路 (2) 2.2脉搏信号拾取电路 (2) 2.3信号放大 (3) 2.4波形整形部分 (5) 第三章整体电路分析 (7) 3.1光发射电路 (7) 3.2光电转换电路 (7) 3.3信号采集及处理系统 (8) 3.4过采样技术的应用 (8) 3.5整体硬件电路设计 (9) 参考文献 (10)

第一章引言 脉搏测量属于检测有无脉博的测量,有脉搏时遮挡光线,无脉搏时透光强,所采用的传感器是红外接收二极管和红外发射二极管。用于体育测量用的脉搏测量大致有指脉和耳脉二种方式。这二种测量方式各有优缺点,指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降;耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。 从脉搏波中提取人体的生理病理信息作为临床诊断和治疗的依据,历来都受到中外医学界的重视。几乎世界上所有的民族都用过“摸脉”作为诊断疾病的手段。脉搏波所呈现出的形态(波形)、强度(波幅)、速率(波速)和节律(周期)等方面的综合信息,在很大程度上反映出人体心血管系统中许多生理病理的血流特征,因此对脉搏波采集和。 处理具有很高的医学价值和应用前景。但人体的生物信号多属于强噪声背景下的低频的弱信号, 脉搏波信号更是低频微弱的非电生理信号, 必需经过放大和后级滤波以满足采集的要求。

脉搏测试仪设计报告

脉搏测试仪设计报告 摘要:本系统以ST12C5A60S2单片机为核心,利用红外线发射二极管和接收二极管作为信号检测传感器,通过LM324信号放大电路,最终使用四位一体数码管作为显示器件。系统利用红外对管将人体心脏跳动使血管中血液饱和程度的变化将引起光的强度发生的变化,红外接收二极管的电流也跟着改变,导致红外发射管输出脉冲信号,经过由LM324构成的放大电路将脉冲信号放大整形,传送至单片机进行信号计算处理,最后将数据结果送到数码管进行显示。由此来对人体心率的数据进行测量。 关键词:ST12C5A60S2、红外线发射二极管、接收二极管、LM324、MY3641AH

Abstract:The system is based on the ST12C5A60S2 single-chip microcomputer as the core, with the infrared emitting diode and receive diode as sensor, signal amplifier circuit with LM324 as the core device, with 2MY3641AH four in one as a digital control display device. Through infrared to control the human beating heart vascular blood saturation degree of change will cause the light intensity changes, the infrared receiving diode current also change, resulting in the infrared emission tube output pulse signal, after which is composed of LM3243stage amplifying circuit amplifies the pulse signal is transmitted to the single chip microcomputer, signal processing, finally the data sent to the digital tube display. According to the data measured on human heart rate. Key words: ST12C5A60S2, infrared emitting diode, receiving diode, LM324, MY3641AH 目录

电子脉搏计课程设计

电子脉搏计 课 程 设 计 报 告 组长:蔡新源 组员:史志华、张重彬、李海磊、杨威力、刘世洋、孙景伟、冀鹏辉、杨冠军、李峰 朝

目录 摘要 (3) 第一章绪论 (4) 第二章各种元器件及其应用 (5) 1、集成同步计数器及其应用张重彬 (5) 2、BCD-七段共阴数码管史志华 (7) 3、74LS161 计数器的应用杨威力 (10) 4、五进制的自循环冀鹏辉 (12) 5、集成同步计数器及其应用刘世洋 (13) 6、用 74LS161构成一个十进制计数器李海磊 (14) 7、四进制的自循环杨冠军 (15) 8、用 74LS290设置七进制计数器李峰朝 (17) 9、七进制的自循环孙景伟 .. 19 第三章数字脉搏计时器的方案比较 (21) 3.1方案论证 (21) 3.2提出方案 (21) 3.3方案比较 (23) 第四章单元电路的设计 (23) 4.1电路总体框图 (23) 4.2采集、放大与整形电路 (23) 4.2.1传感器 (24) 4.2.2放大电路 (24) 4.2.3整形电路 (25) 4.3倍频电路 (26) 4.4基准时间产生电路 (28) 4.5 计数、译码、显示电路 (28) 4.6 控制电路 (31)

总结 (32) 摘要 人体脉象中富含有关心脏、内外循环和神经等系统的动态信息。而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。 本文主要介绍了数字式脉搏计的具体实现方法,利用压电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。 关键词脉搏计;脉冲信号;压电传感器

心率测试仪的设计

江西工业贸易职业技术学院毕业设计 摘要 随着生物医学工程技术的发展, 医学信号测量仪器日新月异。生物医学测量与临床医学和保健医疗的联系日益紧密。通过对人体各种生理信号的检测,能更好的认识人体的生命现象。脉象包含丰富的人体健康状况信息, 脉诊技术应客观化、定量化。本设计利用光电式传感器, 设计脉搏信号获取的方法。本设计主要是基于单片机的便携式脉搏测试仪的具体实现方法,利用光电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。本设计所设计的基于单片机的便携式心率测试仪对推进脉诊技术客观化的实现具有积极的促进作用。 脉搏;单片机;光电传感器;脉冲信号;便携式关键词: I 江西工业贸易职业技术学院毕业设计 目录 摘要I........................................................................................................................................ .第1章引言....................................................................................................................... 11.1概述. (1)

1.2基于单片机的心率测试仪的发展与应用 (2) 1.3本设计的主要内容 (3) 第2章整体方案分析.................................................................................................... 4. 2.1任务 (4) 2.2要求 (4) 2.3系统的整体方案 (4) 2.4 方案的对比和论证 (4) 2.4.1脉搏检测传感器的选择 (4) 2.4.2单片机的选择 (6) 2.4.3显示部分的选择 (6) 2.5设计时要考虑的问题 (7) 2.5.1环境光对脉搏传感器测量的影响 (7) 2.5.2电磁干扰对脉搏传感器的影响 (7) 2.5.3测量过程中运动噪声的影响 (8) 2.6本章小结 (8) 第3章硬件电路设计分析........................................................................................... 93.1控制 器 (9) 3.1.1AT89S52 (9) 3.1.2AT89S52的特点 (9) 3.1.3AT89S52的结构 (9) 3.2脉搏信号采集....................................................................................................... 12 3.2.1光电传感器的结构及原理 (12) 3.2.2信号采集电路 (13) 3.3信号放大电路....................................................................................................... 13

课程设计————电子心率计的设计

课程设计说明书正文 1:任务分析与方案设计 心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。 设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。 2:电路设计,元器件参数计算及选择 2.1:传感器的选择 :

红外线检测原理: 随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。 TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。 仿真图: 黄色信号(下)为输入信号。 蓝色信号(上)为输出信号。 由图中可以看出,输入输出信号基本相等。 2.3:放大电路的设计 传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初 步选择为660倍。设计电路的原理如下:

模拟电路课程设计脉搏测试仪设计说明

脉搏测试仪设计 1、设计目的 (1)熟悉脉搏测试仪的电路组成,工作原理和设计方法; (2)加深对电子电路的掌握,学会基于模拟电路的课程设计。 2、设计任务 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。它是用来测量频率较低的小信号(传感器输出电压一般为几毫伏)。具体要求: (1)实现在30~60秒内测量1分钟的脉搏数。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min (2)用传感器将脉搏跳动的转换为电压信号并放大整形和滤波。(3)测试误差不小于2/min。 3、设计要求 (1)合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图(运用Multisim电路仿真软件); (2)选择常用的电器元件(说明电器元件选择的过程和依据); (3) 对电路进行局部或整体仿真分析; (4)按照规范要求,按时提交课程设计报告,并完成相应答辩。 4、参考资料 (l)李立主编. 电工学实验指导. 北京:高等教育出版社,2005(2)高吉祥主编. 电子技术基础实验与课程设计. 北京:电子工业出版社,2004 (3)谢云,等编著.现代电子技术实践课程指导.北京:机械工业出版社,2003

目录 一、设计要求 (3) 二、设计的作用、目的 (3) 三、设计的具体体现 (3) 1、系统概述 (3) 2、单元电路设计、仿真与分析 (4) 四、心得体会及建议 (16) 五、附录 (18) 六、参考文献 (18)

脉搏测试仪设计报告 一、设计要求 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。它是用来测量频率较低的小信号(传感器输出电压一般为几毫伏)。 具体要求: 1、实现在30~60秒内测量1分钟的脉搏数。正常人脉搏数为 60~80次/min,婴儿为90~100次/min,老人为100~150次 /min。 2、用传感器将脉搏跳的动转换为电压信号,并加以放大整形 和滤波。 3、测试误差不小于2/min。 二、设计的作用、目的 脉搏是常见的生理现象,是心脏和血管状态等重要的生理信息的外在反映;因此,脉搏测量不仅为血压测量、血流测量及其他生理检测提供了生理参考信息,而且脉搏波本身也能给出许多有诊断价值的信息。因此研究脉搏测试仪有着非常重要的现实意义。为更好的运用所学的知识,加深对电子电路的掌握,达到创新的目的。通过实践学会合理的利用集成电子器件制作电路基于模拟电路的课程设计与制作。 三、设计的具体体现 1、系统概述 通过脉搏传感器来拾取脉搏信号,经过前级放大器进行放大,

相关文档
最新文档