时序逻辑电路的实现

时序逻辑电路的实现
时序逻辑电路的实现

Verilog-HDL与CPLD/FPGA设计应用讲座

常晓明 李媛媛 [04.3.16]

第 8 讲 用Verilog-HDL做CPLD设计

时序逻辑电路的实现 8.1 闪烁灯的实现

8.2 流水灯的实现

8.3 可编程单脉冲发生器

在第七讲中,已经介绍了组合逻辑电路的实现。组合逻辑电路的特点是:在任意时刻,电路产生的稳定输出仅

与当前时刻的输入有关。时序逻辑电路则不同于它,其特点是:在任意时刻电路产生的稳定输出不仅与当前时刻的

输入有关,而且还与电路过去的输入有关。本讲中将介绍时序逻辑电路的实现。

8.1 闪烁灯的实现[To top ]

在目标板上,设计有一个10MHz的时钟源。假如直接把它输出到发光二级管LED,由于人眼的延迟性,我们将无

法看到LED闪烁,认为它一直亮着。如果我们期望看到闪烁灯,就需要将时钟源的频率降低后再输出。因此,可以采

用如图1所示的逻辑功能框图。

图1 闪烁灯的逻辑功能框图

其中,CLK表示10MHz的时钟源,作为输入;LED0-LED7表示发光二极管,作为输出;6、44、43、38、37、36、

35、40和42是上述变量对应芯片XC9536的引脚。虚线框中的部分是CPLD设计,用于实现闪烁灯的功能。

如图1所示,在XC9536中,加入计数电路与判别电路。计数电路可用计数器实现。每来一个时钟脉冲CLK,计数

器就加1。而每当判断出计数器达到某个数值时,就使得灯LED0-LED7的亮灭反转一次,即:周期性地输出高电

平"1"和低电平"0"。这样设计也就相当于把10MHz的时钟源分频后再输出。如果最终要使得灯1s闪烁一次,即:输出

1Hz的时钟脉冲,就需要把10MHz的时钟经过107分频。根据上述分析,可以得到下面的Verilog-HDL描述。

/* 闪烁灯的Verilog-HDL描述 */

module LIGHT ( CLK, LED ); // 模块名及端口参数,范围至endmodule

input CLK; // 输入端口定义,对应第6脚

output

[7:0] LED;

// 输出端口定义,LED[0]-LED[7]分别对应第44、43、38、37、36、35、40和42脚reg [7:0] LED; // 输出端口定义为寄存器型

reg [22:0] buffer; // 中间变量buffer定义为寄存器型

always @ ( posedge CLK )

// always语句,表示每当CLK的上升沿到来时,完成begin-end之间语句的操作 begin// 顺序语句,到end止

buffer = buffer +1; // 缓冲器buffer按位加1

if ( buffer == 23'b11111111111111111111111)

// 判别buffer中的数值为(2^23-1)≈10^7时,做输出处理

//"23"表示以位计的数值长度,"b"表示二进制,"11…1"表示二进制的数字序列 begin

LED=~LED; // LED[0]-LED[7]反转一次,即:由0变为1,或由1变为0

end

end

endmodule

把以上闪烁灯的描述,用WebPACK Project Navigator软件,生成目标文件,并通过下载电缆写入芯片XC9536中。然后,将芯片插到目标板上,即可看到闪烁灯的景象了。图2示出了下载过程中出现的引脚配置画面。

图2 闪烁灯的引脚配置画面

8.2 流水灯的实现[To top]

下面,做一个流水灯的设计。如果把流水做慢动作播放,可以想象到其实就是移动,即:把水块不断地向同一方向移动,而原来的水块保持不动,就形成了流水。同样,如果使得最右边的灯先亮;然后,通过移位,在其左侧的灯,由右向左依次点亮,而已经亮的灯又不灭,不就形成了向左的流水灯!

因此,同样可以采用如图1所示的逻辑功能框图。初始状态时,8个灯都不亮。每来一个时钟脉冲CLK,计数器就加1。每当判断出计数器中的数值达到107时,就会点亮一个灯,并进行移位。这样,依次点亮所有的灯,就形成了流水灯。而当8个灯都点亮时,需要一个操作使得所有的灯恢复为初始状态,即:灯都不亮。然后,再一次流水即可。如果是左移位,就出现向左流水的现象;反之,向右流水。根据上述分析,可以得到下面的Verilog-HDL描述。

/* 流水灯的Verilog-HDL描述* /

module LEDWATER ( CLK, LED );// 模块名及端口参数,范围至endmodule

input CLK; // 输入端口定义,对应第6脚

output [7:0] LED;

// 输出端口定义,LED[0]-LED[7]分别对应第44、43、38、37、36、35、40和42脚

reg [7:0] LED; // 输出端口定义为寄存器型

reg [22:0] buffer;// 中间变量buffer定义为寄存器型

initial// initial语句,用于对8个灯进行初始化,即:使得8个灯都不亮LED=8'b11111111; // 依照图1可知,输出为高电平时,LED灭,反之亮

always @ ( posedge CLK )

// always语句,表示每当CLK的上升沿到来时,完成begin-end之间语句的操作begin// 顺序语句,到end止

buffer = buffer +1; // 缓冲器buffer按位加1,

if ( buffer == 23'b11111111111111111111111)

// 判别buffer中的数值为(2^23-1)≈10^7时,做输出处理

//"23"表示以位计的数值长度,"b"表示二进制,"11…1"表示二进制的数字序列 begin

LED=LED<<1;

// LED向左移位,空闲位自动添0补位。例:第一次移位后,LED=8'b11111110

if ( LED==8'b00000000 ) // 条件判断语句,表示如果8个灯全亮

LED=8'b11111111; // 恢复为初始状态,即:8个灯都不亮

end

end

endmodule

把以上流水灯的描述,用WebPACK Project Navigator软件,生成目标文件,并通过下载电缆写入芯片XC9536中。然后,将芯片插到目标板上,即可看到流水灯的景象了。下载过程中出现的引脚配置画面和图2相同。

8.3 可编程单脉冲发生器[To top]

可编程单脉冲发生器是一种脉冲宽度可编程的信号发生器,其输出为TTL电平。在输入按键的控制下,产生单次的脉冲,脉冲的宽度由8位的输入数据控制(以下称之为脉宽参数)。由于是8位的脉宽参数,故可以产生255种宽度的单次脉冲。

在目标板上,I0~I7用作脉宽参数输入,PULSE_OUT用做可编程单脉冲输出,而KEY和/RB作为启动键和复位键。图3示出了可编程单脉冲发生器的电路图。

图3 可编程单脉冲发生器的电路图

8.3.1 由系统功能描述时序关系

可编程单脉冲发生器的操作过程是:

(1) 预置脉宽参数。

(2) 按下复位键,初始化系统。

(3) 按下启动键,发出单脉冲。

以上三步可用三个按键来完成。但是,由于目标板已确定,故考虑在复位键按下后,经过延时自动产生预置脉宽参数的动作。这一过程可用图4的时序来描述。

图4 可编程单脉冲发生器的时序图

图中的/RB为系统复位脉冲,在其之后自动产生LOAD脉冲,装载脉宽参数N。之后,等待按下/KEY键。/KEY键按下后,单脉冲P_PULSE便输出。在此,应注意到:/KEY的按下是与系统时钟CLK不同步的,不加处理将会影响单脉冲P_PULSE的精度。为此,在/KEY按下期间,产生脉冲P1,它的上跳沿与时钟取得同步。之后,在脉宽参数的控制下,使计数单元开始计数。当达到预定时间后,再产生一个与时钟同步的脉冲P2。由P1和P2就可以算出单脉冲的宽度Tw。

8.3.2 流程图的设计

根据时序关系,可以做出图5所示的流程图。

在系统复位后,经一定的延时产生一个预置脉冲LOAD,用来预置脉宽参数。应该注意:复位脉冲不能用来同时预置,要在其之后再次产生一个脉冲来预置脉宽参数。

为了产生单次的脉冲,必须考虑到在按键KEY有效后,可能会保持较长的时间,也可能会产生多个尖脉冲。因

此,需要设计一种功能,使得当检测到KEY有效后就封锁KEY的再次输入,直到系统复位。这是本设计的一个关键所在。

图5 可编程单脉冲发生器的流程图

8.3.3 系统功能描述

根据时序和流程图,可以进一步描述系统的功能。图6给出了系统功能描述。

图6 可编程单脉冲发生器的系统功能

与系统的时序相呼应,功能框图较详细地描述了系统应有的功能。系统主要有以下三大模块组成:

(1) 延时模块P_DLY。

(2) 输入检测模块P_DETECT。

(3) 计数模块LE_EN_DCNT。

在此阶段,应尽可能详细地描述系统,给出合理的逻辑关系,进行正确的功能模块分配。例如:不要把计数模

块LE_EN_DCNT与延时模块P_DLY混在一起,否则给后续的设计带来不必要的麻烦。对每一个模块有了详细的功能描

述,下一步就可以将其细化为具体的逻辑电路了。

8.3.4 逻辑框图

将系统功能描述用逻辑框图来描述,可以用图7来说明。

图7 可编程单脉冲发生器的逻辑功能

(1) 延时模块P_DLY。CLK给延时单元提供计数时基,在复位脉冲/RB从有效变为无效时,启动延时单元。延时时

间到后便输出一个负有效的脉冲,其宽度为一个时钟周期。

(2) 输入检测模块P_DETECT。/RB复位系统后,该模块等待/KEY的输入,一旦检测到有下跳,便一方面封锁输

入,一方面产生并保持与时钟同步的一个上跳脉冲。该脉冲用以开启计数模块LE_EN_DCNT的计数允许端EN。

(3) 计数模块LE_EN_DCNT。脉宽参数端IN接受8位的数据,经数据预置端LOAD装载脉宽参数,在计数允许端有效

后便开始计数。该计数器设计成为减法计数的模式,当其计数到0时,输出端OUT由高电平变为低电平。该输出与来

自延时模块P_DETECT的输出进行"与"运算,便可得到单脉冲的输出。

但是,根据以上的逻辑功能,还不能方便地用Verilog-HDL来描述,需要进一步分析、细化各模块的功能。另

外,即使分析清楚了各模块,也应该将各模块分别进行仿真,正确无误后,再将所有的模块连接起来,进行系统级

的仿真。

8.3.5 延时模块的详细描述及仿真

如图8所示,/RB的下跳沿将U1复位,上跳沿将U1的输出端置"1"。同时,/RB将U3复位,其输出端开启"三与

门"。在这种情况下,时钟CLK通过"三与门"输入到U2的IN端,U2延时一定时间(本设计为5个时钟周期)后输出下跳

的脉冲,该脉冲持续一个时钟周期后又上跳,上跳沿输入到T触发器,T触发器的输出端封锁"三与门"。这一时序关

系如图9所示。

图8 延时模块的逻辑功能描述

图9 延时脉冲的时序关系

图8中的延时单元DLY_UNIT可用图10的逻辑电路实现。

图10 延时模块中的计数器

至此,延时模块P_DLY已可用Verilog-HDL来描述了。

/* 延时模块P_DLY的Verilog-HDL描述 */

module pulse ( CLK, RB, DLY_OUT); // 模块名及端口定义,范围至endmodule

input CLK, RB; // 输入端口定义

output DLY_OUT; // 输出端口定义

wire Q, QB, CNT_CLK; // 中间变量定义

DFF_R U1 ( CLK, Q, RB); // D触发器

assign CNT_CLK = CLK & Q & QB; // 赋值语句,实现把三与门的输出赋给CNT_CLK

DELAY U2 ( RB, CNT_CLK , DLY_OUT); // 延时单元

T FF U3 ( DLY_OUT, QB, RB ); // T触发器

endmodule

/* 延时单元DELAY */

module DELAY ( RESET_B, CLK, DIV_CLK ); // 模块名及端口定义,范围至endmodule

input RESET_B, CLK; // 输入端口定义

output DIV_CLK; // 输出端口定义

reg [2:0] Q; // 中间变量定义

always @ ( posedge CLK or negedge RESET_B )

// always语句,表示每当CLK的上升沿或RESET_B的下降沿到来时,完成begin-end之间语句的操作

if ( !RESET_B ) // 如果RESET_B=0

Q <= 0; // 则Q = 0,即:计数器清0

else if ( Q == 5 )

// 否则,如果Q=5,即:计数器计数已满

Q <= 0; // 则Q = 0,即:计数器清0

else

Q <= Q + 1; // 否则,计数器加1

assign DIV_CLK = ~(Q[2] & ~Q[1] & Q[0]);

// 赋值语句,实现把三与门的输出反向后赋值给DIV_CLK

endmodule

由于D触发器和T触发器的设计比较简单,这里就不做描述了,具体描述见参考文献(3)。图11为延时模块的仿

真结果。从仿真结果可以看出与设计是相吻合的。

图11 延时模块的仿真结果

8.3.6 输入检测模块的详细描述及仿真

图12为输入检测模块的逻辑电路。工作原理简述如下:

(1) 系统复位脉冲/RB使U1、U2复位。

(2) U2的输出端允许CLK进入U1的CLK端。

(3) U1的反相输出端开启与/KEY相关的与门,允许/KEY的第一次有效。

(4) /KEY无效(高电平),使U1的D端为低电平。

(5) P_DETECT的输出始终为低电平。

(6) /KEY有效(低电平)。

(7) U1的D端为高电平。

(8) 待时钟CLK的上跳沿到来时,将U1的D端高电平打至U1的输出端并保持。此输出的上跳沿与时钟CLK同步。

(9) 此时,U1的反相输出端为低电平,该电平封锁与/KEY相关的与门,从而禁止/KEY的再次输入,直到复位脉

冲/RB的到来。

图12 输入检测模块的逻辑功能描述

/* 输入检测模块P_DETECT的Verilog-HDL描述 */

module pulse ( CLK, RB, KEY , OUT); // 模块名及端口定义,范围至endmodule

input CLK, RB, KEY; // 输入端口定义

output OUT; // 输出端口定义

wire CLK2, T_QB ; // 中间变量定义

assign CLK2 = CLK & T_QB; // 赋值语句,实现把与门的输出赋给CLK2

DFF_R U1 ( CLK2 , ~KEY & ~OUT, OUT, ~ OUT, RB ); // D触发器

TFF U2 ( OUT, T_QB, RB ); // T触发器

endmodule

由于D触发器和T触发器的设计比较简单,这里就不做描述了,具体描述见参考文献(3)。

图13为输入检测模块的仿真结果。可以看出,在复位脉冲之后,KEY的有效(低电平)使检测模块的输出为高电

平,其一直保持到系统复位脉冲的到来。还可以看出,KEY有效后,输出并不一定立刻出现高电平,而要等到时钟

CLK的上跳沿到来。在输出为高电平的情况下,即使KEY再次有效,也不会影响输出。这说明模块一旦接受到了输

入,便立刻禁止在其之后的输入,除非接收到复位脉冲的到来。

在仿真时,应该给出尽可能多的信号组合来测试系统,否则会常常将人引入误区。

图13 输入检测模块的仿真结果

8.3.7 计数模块的详细描述

计数模块的逻辑电路如图14所示。数据预置端IN的数据在LOAD有效(高电平)时被打入内部的寄存器。在EN有

效的情况下,计数器开始做减法计数。当计数值减为0时,输出为低电平。此模块描述较简单,故省略模块的仿真。

图14 计数模块的逻辑功能描述

转载自 https://www.360docs.net/doc/bf13324398.html,

8.3.8 可编程单脉冲发生器的系统仿真

以上,已经对各个模块进行了描述。下面,就可以进行系统仿真了。可编程单脉冲发生器的系统描述可见参考

文献(3)。

图15为可编程单脉冲发生器的逻辑仿真结果。由仿真结果可以看出,单脉冲输出的持续时间(脉冲宽度)由输

入的脉宽参数DATA_IN决定。

图15 可编程单脉冲发生器的逻辑仿真结果

8.3.9 可编程单脉冲发生器的硬件实现

仿真工作结束后,按照第六讲介绍的方法,用WebPACK Project Navigator软件,把源文件生成目标文件,并通

过下载电缆将目标代码写入芯片。这样,就可以实际检测该硬件电路的工作情况了。

图16为可编程单脉冲发生器的实测波形。本例中,脉宽参数的设定值为1。因此,应该产生宽度为一个时钟周期

的单脉冲。又由于时钟为10MHz,即周期为100ns。所以图16的脉宽为100ns。实测的数据显示了本设计的正确性。

图16 可编程单脉冲发生器的实测波形

参考文献:

(1) J.B hasker著,徐振林等译:Verilog HDL,机械工业出版社,北京,2000.10.

(2) 周立功,夏宇闻:单片机与CPLD综合应用技术,北京航空航天大学出版社,p191-p196,2003.9. (3) 常晓明:Verilog-HDL实践与应用系统设计,北京航空航天大学出版社, 2003.1.

(完整版)时序逻辑电路习题与答案

第12章时序逻辑电路 自测题 一、填空题 1.时序逻辑电路按状态转换情况可分为时序电路和时序电路两大类。 2.按计数进制的不同,可将计数器分为、和N进制计数器等类型。 3.用来累计和寄存输入脉冲个数的电路称为。 4.时序逻辑电路在结构方面的特点是:由具有控制作用的电路和具记忆作用电路组成。、 5.、寄存器的作用是用于、、数码指令等信息。 6.按计数过程中数值的增减来分,可将计数器分为为、和三种。 二、选择题 1.如题图12.1所示电路为某寄存器的一位,该寄存器为 。 A、单拍接收数码寄存器; B、双拍接收数码寄存器; C、单向移位寄存器; D、双向移位寄存器。 2.下列电路不属于时序逻辑电路的是。 A、数码寄存器; B、编码器; C、触发器; D、可逆计数器。 3.下列逻辑电路不具有记忆功能的是。 A、译码器; B、RS触发器; C、寄存器; D、计数器。 4.时序逻辑电路特点中,下列叙述正确的是。 A、电路任一时刻的输出只与当时输入信号有关; B、电路任一时刻的输出只与电路原来状态有关; C、电路任一时刻的输出与输入信号和电路原来状态均有关; D、电路任一时刻的输出与输入信号和电路原来状态均无关。 5.具有记忆功能的逻辑电路是。 A、加法器; B、显示器; C、译码器; D、计数器。 6.数码寄存器采用的输入输出方式为。 A、并行输入、并行输出; B、串行输入、串行输出; C、并行输入、串行输出; D、并行输出、串行输入。 三、判断下面说法是否正确,用“√"或“×"表示在括号 1.寄存器具有存储数码和信号的功能。( ) 2.构成计数电路的器件必须有记忆能力。( ) 3.移位寄存器只能串行输出。( ) 4.移位寄存器就是数码寄存器,它们没有区别。( ) 5.同步时序电路的工作速度高于异步时序电路。( ) 6.移位寄存器有接收、暂存、清除和数码移位等作用。() 思考与练习题 12.1.1 时序逻辑电路的特点是什么? 12.1.2 时序逻辑电路与组合电路有何区别? 12.3.1 在图12.1电路作用下,数码寄存器的原始状态Q3Q2Q1Q0=1001,而输入数码

时序逻辑电路设计

引言 人类社会进步,各种仪器测试设备的以电子设备代替成为趋势,各类测试仪器都希望通过电子设备来实现。电子设备在实现相应参数的测量时,具有简单容易操作,而且数据便于计算机处理等优点。目前科技的飞速进展与集成电路的发展应用,有密不可分的关系。十九世纪工业革命主要以机器节省人力,二十世纪的工业的革命则主要以电脑为人脑分劳。而电脑的发展归于集成电路工业。 集成电路是将各种电路器件集成于半导体表面而形成的电路。近年来集成电路几乎成为所有电子产品的心脏。由于集成电路微小化的趋向,使电子产品得以“轻、薄、短、小”。故集成电路工业又称微电子工业。差不多在同时数字计算机的发展提供了应用晶体管的庞大潜在市场。 20世纪90年代以后,电子科学和技术取得了飞速的发展,其标志就是电子计算机的普及和大规模集成电路的广泛应用。在这种情况下,传统的关于数字电路的内容也随之起了很大的变化,在数字电路领域EDA工具已经相当成熟,无论是电路内容结构设计还是电路系统设计,以前的手工设计都被计算机辅助设计或自动设计所取代。 通过长期的学习微电子专业理论知识,我们应该多动手实践把理论知识与实践相结合,加强对理论知识的把握。本文是十进制同步计数器的设计,对十进制同步计数器的设计进行电路原理图设计以及仿真,版图设计,版图验证。 1 设计技术要求 (1)项目名称:十进制同步计数器的设计 (2)使用工艺:2.0um硅栅工艺(tanner)或者1.0um硅栅工艺(cadence) (3)供电电源:5V (4)输入要求:异步清除,CMOS电平 (5)进行原理图设计,并完成电路的仿真 (6)版图设计,完成LVS一致性检验,生成相应的GDSII文档 2 设计构思及理论 2.1 设计思路 十进制同步计数器的设计可以细化成下列步骤: ①建立最简原始状态图。 ②确定触发器级数,进行状态编码。 ③用状态装换卡诺图化简,求状态方程和输出方程。 ④查自启动特性。 ⑤确定触发类型,求驱动方程。 ⑥画逻辑图。

电子技术——几种常用的时序逻辑电路习题及答案

第七章 几种常用的时序逻辑电路 一、填空题 1.(9-1易)与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.(9-1易)触发器是数字电路中______(a.有记忆b.非记忆)的基本逻辑单元。 3.(9-1易)在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4.(9-1中)JK 触发器是________(a.CP 为1有效b.CP 边沿有效)。 5.(9-1易)1n n n Q JQ KQ +=+是_______触发器的特性方程。 6.(9-1中)1n n Q S RQ +=+是________触发器的特性方程,其约束条件为___________。 7.(9-1易)1n n n Q TQ TQ +=+是_____触发器的特征方程。 8. (9-1中)在T 触发器中,若使T=____,则每输入一个CP ,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T 触发器,它的特征方程是________________。 9.(9-1难)我们可以用JK 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器;令________________,即转换成D 触发器。 10.(9-1难)我们可以用D 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器。

时序逻辑电路51时序逻辑电路的基本概念1时序逻辑电路

第5章时序逻辑电路 5.1 时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分组成。 图5.1 时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 (1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同步时序电路较复杂,其速度高于异步时序电路。 (2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出Z既与触发器的状态Q n有关,又与外部输入X有

关。而摩尔型电路的外部输出Z仅与触发器的状态Q n有关,而与外部输入X无关。 (3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且都是分析和设计时序电路的基本工具。 5.2 时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。(2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1 同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图5.10所示。 图5.10 同步时序电路的设计过程

时序逻辑电路练习题90281

一、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。 2.D 触发器的特性方程为___ ;J-K 触发器的特性方程为______。 3.T触发器的特性方程为。 4.仅具有“置0”、“置1”功能的触发器叫。 5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。 6. 若D 触发器的D 端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应 为。 7.JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息 需要个触发器。 9.时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为___ ___计数器和____ __计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过 程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。 14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则 经过5个CP脉冲以后计数器的状态为。 15.将某时钟频率为32MHz的CP变为4MHz的CP,需要个二进制计数器。 16. 在各种寄存器中,存放N 位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二 进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要 个移位脉冲。 18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳 变为1态,因此其稳态为态,暂稳态为态。 19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。 20.单稳态触发器在外加触发信号作用下能够由状态翻转到状 态。 21.集成单稳态触发器的暂稳维持时间取决于。 22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲 宽度,则占空比应为____ ___。 23.施密特触发器有____个阈值电压,分别称作___ _____ 和___ _____ 。 24.触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。 25.施密特触发器常用于波形的与。 二、选择题 1. R-S型触发器不具有( )功能。 A. 保持 B. 翻转 C. 置1 D. 置0 2. 触发器的空翻现象是指() A.一个时钟脉冲期间,触发器没有翻转 B.一个时钟脉冲期间,触发器只翻转一次 C.一个时钟脉冲期间,触发器发生多次翻转 D.每来2个时钟脉冲,触发器才翻转一次 3. 欲得到D触发器的功能,以下诸图中唯有图(A)是正确的。

总结时序电路的特点

篇一:时序电路实验总结 时序电路实验总结 1.掌握用仿真工具分析电路的方法: 在电路中增加测试点,通过波形仿真观察终结节点的输出信号,帮助分析电路特性。 2.修改电路中出现的问题: tj:tj与start反馈信号相与非后(0)直接接入clrn端,使得7474的1q端start信号马上变为0,即输出时钟脉冲t1。。。t4为0。可是start反馈信号又马上与tj相与非(1),使clrn端无效。使其结果不稳定。 3.最佳修改方案 tj(全停):tj取反直接连到clrn,使其7474的1q(start)为0。 zt(暂停):zt与h 与非接7474的clk。 4.时序电路的运用 可运用到存储器实验中,不改变原电路而实现连读的功能。通过时序电路输出的节拍脉冲去控制74161(地址计数器)、72273(地址寄存器)、lmp-ram-io中的数据分时在总线上显示。 1.仿真时控制信号qd、tj、dp、zanting应展开; 2.注意几个状态之间的转换,仿真图要看到明显的效果。例如连续运行状态应有两个以上的ti-t4出现, 3.暂停应该可以在t1、t2、t3、t4的每个节拍上实现。 4. 篇二:数字电路特点归纳 数字电路又可称为逻辑电路,通过与(&),或(>=1),非(o),异或(=1),同或(=)等门电路来实现逻辑。 ttl和cmos电路:ttl是晶体管输入晶体管输出逻辑的缩写,它用的电源为5v。cmos电路是由pmos管和nmos管(源极一般接地)组合而成,电源电压范围较广,从1.2v-18v都可以。 cmos的推挽输出:输出高电平时n管截止,p管导通;输出低电平时n管导通,p管截止。输出电阻小,因此驱动能力强。 cmos门的漏极开路式:去掉p管,输出端可以直接接在一起实现线与功能。如果用cmos管直接接在一起,那么当一个输出高电平,一个输出低电平时,p管和n管同时导通,电流很大,可能烧毁管子。单一的管子导通,只是沟道的导通,电流小,如果两个管子都导通,则

第八章时序逻辑电路学习资料

第八章时序逻辑电路

第八章时序逻辑电路 第一节寄存器 一、单项选择题 1.N个触发器可以构成能寄存位二进制数码的寄存器。() A.N-1 B.N C.N+1 D.2N 2.存储8位二进制信息要个触发器。 A.2 B.3 C.4 D.8 3.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 A.1 B.2 C.4 D.8 4.有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP作用下,四位数据的移位过程是() A.1011-0110-1100-1000-0000 B.1011-0101-0010-0001-0000 C.1011-1100-1101-1110-1111 D.1011-1010-1001-1000-0111 5.由三级触发器构成环形计数器的计数摸值为( ) A.8 B.6 C.3 D.16 6.如图8-7所示电路的功能为()A.并行输入寄存器 B.移位寄存器 C.计数器 D.序列信号发生器 7.由四位移位寄存器构成的顺序脉冲发生器可产生个顺序脉冲。() A.2 B.4 C.8 D.16 8.现欲将一个数据串延时4个CP的时间,则最简单的办法采用() A.4位并行寄存器 B.4位移位寄存器 C.4进制计数器 D.4位加法器 二、判断题 1.时序电路中不含有记忆功能的器件。( ) 2.移位寄存器74LS194可串行输入并行输出,但不能串行输入串行输出。() 仅供学习与交流,如有侵权请联系网站删除谢谢2

3.时序逻辑电路在某一时刻的输出状态与该时刻之前的输入信号无关。( ) 4.时序电路一定不要组合电路。() 三、多项选择题 1.寄存器按照功能不同可分为() A.数据寄存器 B.移位寄存器 C.暂存器 D.计数器 2.数码寄存器的特点是() A.存储时间短 B.速度快 C.可做高速缓冲器 D.一旦停电后存储数码全部消失 3.移位寄存器按移位方式可分为() A.左移移位寄存器 B.右移移位寄存器 C.双向移位寄存器 D.集成移位寄存器 第二节计数器 一、填空题1.触发器有个稳定状态,它可以记录位二进制码,存储8位二进制信息需要个触发器。 2.按进位体制的不同,计数器可分为计数器和计数器等;按计数过程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。 3.要构成五进制计数器,至少需要个触发器。 4.设集成十进制(默认为8421码)加法计数器的初态为Q3Q2Q1Q0=1001,则经过5个CP 脉冲以后计数器的状态为 . 5.在各种寄存器中,存放N位二进制数码需要个触发器。 二、单项选择题 1.按各触发器的CP所决定的状态转换区分,计数器可分为计数器。() A.加法、减法和可逆 B.同步和异步 C.二、十和N进制 D.以上均不正确 2.将一个D触发器处于技术状态时,下列做法正确的是() A.D端接固定高电平 B.D端悬空 C.D端与Q端相联 D.D与Q非端相联 仅供学习与交流,如有侵权请联系网站删除谢谢3

实验十 Moore型同步时序逻辑电路的分析与设计

实验十Moore型同步时序逻辑电路的分析与设计 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.掌握时序逻辑电路的测试方法。 二.实验原理: 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

(7)利用卡诺图如图2,求状态方程、驱动方程。 (8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的 状态转换图,如图3所示,检查是否能自启动。

时序逻辑电路的设计方法

5.2 时序逻辑电路的设计方法 本次重点内容: 1、同步时序逻辑电路的设计方法。 2、异步时序逻辑电路的设计方法。 教学过程 5.2.1 同步时序逻辑电路的设计 一、同步时序逻辑电路的设计方法 设计关键:根据设计要求→确定状态转换的规律→求出各触发器的驱动方程。 设计步骤:(先简单介绍,通过以下的举例后,再进行总结,特别再点出设计关键)1.根据设计要求,设定状态,确定触发器数目和类型。画出状态转换图。 2.状态化简 前提:保证满足逻辑功能要求。 方法:将等价状态(多余的重复状态)合并为一个状态。 3.状态分配,列出状态转换编码表 通常采用自然二进制数进行编码。N为电路的状态数。 每个触发器表示一位二进制数,因此,触发器的数目n可按下式确定 2n≥N>2n–1 4.画状态转换卡诺图,求出状态方程、输出方程 选择触发器的类型(一般可选JKF/F或DF/F,由于JK触发器使用比较灵活,因此,在设计中多选用JK触发器。)将状态方程和触发器的特性方程进行比较→驱动方程。 5.根据驱动方程和输出方程画逻辑图。 6.检查电路有无自启动能力。 如设计的电路存在无效状态时,应检查电路进入无效状态后,能否在时钟脉冲作用下自动返回有效状态工作。如能回到有效状态,则电路有自启动能力;如不能,则需修改设计,使电路具有自启动能力。 二、同步时序逻辑电路的设计举例 [例1] 试设计一个同步七进制加法计数器。

解:设计步骤 (1)根据设计要求,设定状态,画状态转换图。 七进制→7个状态→用S0,S1,…,S6表示 状态转换图如下所示: (2)状态化简。 本例中7个状态都是有效状态。 (3)状态分配,列状态转换编码表。 根据式2n≥N>2n–1,→ N=7,n=3,即采用三个触发器。 选用三位自然二进制加法计数编码→列出状态转换编码表。 (4)选择触发器的类型,求出状态方程,驱动方程和输出方程。根据状态转换编码表→得到各触发器次态和输出函数的卡诺图。得 输出方程为: Y= Q2n Q1n

时序逻辑电路(

第六章时序逻辑电路 内容提要 【熟悉】触发器四种电路结构及动作特点,四种逻辑功能及其逻辑关系、逻辑符号,逻辑功能的四种描述方法 【掌握】时序电路的特点和一般分析方法 【熟悉】寄存器的功能、分类及使用方法, 双向移位寄存器的级联【掌握】计数器的功能和分类,级联法、置位法构成N进制计数器【掌握】555定时器构成三种电路的工作特点、连接方法及主要参数一.一.网上导学 二.二.典型例题 三.三.本章小结 四.四.习题答案 网上导学 §6.1时序逻辑电路的特点 时序逻辑电路的特点:任意时刻的输出不仅取决于该时刻的输入,而 且还和电路原来的状态有关,所以时序电路具有记 忆功能。 在第五章中,向大家介绍了组合电路。 组合电路的特点是其任意时刻的输出状态仅取决于该时刻的输入状态。 2.时序电路逻辑功能描述方法 在上面给出的时序电路结构框图中,包括组合逻辑电路和具有记忆功能的存储电路。 输出变量y1,y2,y3。。。。y b,合称输出矢量Y(t)。 输入变量x1,x2,x3。。。。x a,合称输入矢量X(t)。 同样,存储电路的输入、输出称之为矢量P(t)和矢量Q(t)

按照结构图,我们可以列出三组方程:设tn+1,tn分别为相邻的两个离散的时间瞬间。 矢量Y(tn)是X(tn),Q(tn)的函数,称输出方程。 矢量P(tn)是X(tn),Q(tn)的函数,称驱动方程。 矢量Q(tn+1)是P(tn),Q(tn)的函数,称状态方程。 本节问答题 1.1.什么叫组合逻辑电路? 2.2.什么叫时序逻辑电路? 3.3.它们在逻辑功能和电路结构上各有什么特点? 4.4.在时序电路中,时间量tn+1,tn各是怎样定义的?描述时序电路功能需要几个方程,它们各表示什么含义? §6.2触发器 在这一节中,向大家介绍一种最基本的存储电路触发器(flip-flop)。触发器具有以下基本特点: (1)具有两个稳定的(0和1)状态,能存储一位二进制信息; (2)根据不同的输入,可将输出置成0或1状态; (3)当输入信号消失后,被置成的状态能保存下来。 6.2.1 基本RS触发器 一.电路结构及逻辑符号 在本书第三章里,我们讲了各种门电路,若把两个反相器按照a 图的形式连接起来,可以看出,A点和B点信号是反相的,而A点和C点始终保持同一电平。这样,可以把A,C视为同一点(下面的b 图和c图)。在C图中,A,B两点始终反相,而且电路状态稳定,在没有外界干扰或者触发的状态下,电路能够保持稳定的输出。(这一

实验二 时序逻辑电路的设计[1]

实验二 时序逻辑电路的设计 一、实验目的: 1、 掌握时序逻辑电路的分析方法。 2、 掌握VHDL 设计常用时序逻辑电路的方法。 3、 掌握时序逻辑电路的测试方法。 4、 掌握层次电路设计方法。 5、 理解时序逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、时序逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 2、同步时序逻辑电路的设计方法 同步时序逻辑电路的设计是分析的逆过程,其任务是根据实际逻辑问题的要求,设计出能实现给定逻辑功能的电路。同步时序电路的设计过程: (1)根据给定的逻辑功能建立原始状态图和原始状态表。 ①明确电路的输入条件和相应的输出要求,分别确定输入变量和输出变量的数目和符号; ②找出所有可能的状态和状态转换之间的关系; ③根据原始状态图建立原始状态表; (2)状态化简---求出最简状态图。 合并等价状态,消去多余状态的过程称为状态化简。 等价状态:在相同的输入下有相同的输出,并转换到同一个次态去的两个状态称为等价状态。 (3)状态编码(状态分配)。 给每个状态赋以二进制代码的过程。 根据状态数确定触发器的个数,n n M 221-≤∠(M 为状态数;n 为触发器的个数)。 (4)选择触发器的类型。 (5)求出电路的激励方程和输出方程。 (6)画出逻辑图并检查自启动能力。 3、时序逻辑电路的特点及设计时的注意事项 ①时序逻辑电路与组合逻辑电路相比,输出会延时一个时钟周期。 ②时序逻辑电路一般容易消除“毛刺”。 ③用VHDL 描述时序逻辑电路时,一般只需将时钟信号和异步控制(如异步复位)信号作为敏感信号。

同步时序逻辑电路的分析方法

时序逻辑电路的分析方法 时序逻辑电路的分析:根据给定的电路,写出它的方程、列出状态转换真值表、画出状态转换图和时序图,而后得出它的功能。 同步时序逻辑电路的分析方法 同步时序逻辑电路的主要特点:在同步时序逻辑电路中,由于所有触发器都由同一个时钟脉冲信号CP来触发,它只控制触发器的翻转时刻,而对触发器翻转到何种状态并无影响,所以,在分析同步时序逻辑电路时,可以不考虑时钟条件。 1、基本分析步骤 1)写方程式: 输出方程:时序逻辑电路的输出逻辑表达式,它通常为现态和输入信号的函数。 驱动方程:各触发器输入端的逻辑表达式。 状态方程:将驱动方程代入相应触发器的特性方程中,便得到该触发器的状态方程。 2)列状态转换真值表: 将电路现态的各种取值代入状态方程和输出方程中进行计算,求出相应的次态和输出,从而列出状态转换真值表。如现态的起始值已给定时,则从给定值开始计算。如没有给定时,则可设定一个现态起始值依次进行计算。 3)逻辑功能的说明: 根据状态转换真值表来说明电路的逻辑功能。 4)画状态转换图和时序图: 状态转换图:是指电路由现态转换到次态的示意图。 时序图:是在时钟脉冲CP作用下,各触发器状态变化的波形图。 5)检验电路能否自启动 关于电路的自启动问题和检验方法,在下例中得到说明。

2、分析举例 例、试分析下图所示电路的逻辑功能,并画出状态转换图和时序图。 解:由上图所示电路可看出,时钟脉冲CP加在每个触发器的时钟脉冲输入端上。因此,它是一个同步时序逻辑电路,时钟方程可以不写。 ①写方程式: 输出方程: 驱动方程: 状态方程: ②列状态转换真值表: 状态转换真值表的作法是: 从第一个现态“000”开始,代入状态方程,得次态为“001”,代入输出方程,得输出为“0”。

时序逻辑电路设计题

第1题: 设计一个串行数据检测器,对它的要求是:连续输入3个或3个以上的1时输出为1,其他输入情况下输出为0。 答案 输入数据作为输入变量,用X 表示;检测结果为输出变量,用Y 表示。 设电路没有输入1以前的状态为0S ,输入一个1状态为1S ,连续输入两个1后的状态为2S ,连续输入3个1以后的状态为3S 。状态转换图为: 求得触发器的输入方程为:X K XQ J ==101; 1;010==K Q X J 输出方程:1XQ Y = 画出逻辑图 第2题: 试用JK 触发器和门电路设计一个同步七进制计数器。 答案 因为七进制计数器需要有7个不同的状态,所以需要用三个触发器组成。根据题目要求画出状态转换图: 卡诺图为:

从卡诺图得到的状态方程为: 驱动方程为: 设计得到的逻辑电路图为: 第3题:设计一“011”序列检测器,每当输入011码时,对应最后一个1,电路输出为1。答案 画出原始状态图(或称转移图) 输入端X:输入一串行随机信号 输出端Z:当X出现011序列时,Z=1;否则Z=0

选用T 触发器 表达式为: T 触发器的驱动方程为: 第4题: 用JK 触发器设计时序逻辑电路,状态表如下所示: n n Q Q 01 Y Q Q n n /1 11++ A=0 A=1 00 01/0 11/0 01 10/0 00/0 10 11/0 01/0 11 00/1 10/1 答案 所要设计的电路由4个状态,需要用两个JK 触发器实现,求得JK 触发器的激励方程为:100==K J 011Q A K J ⊕== 输出方程:01Q Q Y = 由输出方程和激励方程画电路 A B C D 1/0 0/0 0/0 1/1 0/0 0/0 1/0 1/0 011XQ Q T +=000XQ Q X T +=0 1Q XQ Z =011XQ Q T +=0 00XQ Q X T +=0 1Q XQ Z =

数字逻辑电路具有哪些主要特点

数字逻辑电路具有哪些主要特点 数字逻辑电路具有哪些主要特点从整体上来看,数字逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。在逻辑功能方面,组合逻辑电路在任一时刻的输出信号仅与当时的输入信号有关,与信号作用前电路原来所处的状态无关;而时序逻辑电路在任一时刻的输出信号不仅与当时的输入信号有关,而且还与电路原来的状态有关。在电路结构方面,组合逻辑电路仅由若干逻辑门组成,没有存储电路,也没有输出到输入的反馈回路,因而无记忆能力;而时序逻辑电路除包含组合电路外,还含有存储电路,因而具有记忆能力。 在时序逻辑电路中,存储电路常由触发器组成,根据这些触发器时钟接法的不同,时序分为同步时序逻辑电路和异步时序逻辑电路。在同步时序逻辑电路中,存储电路内所有触发器的时钟输入端都接同一个时钟脉冲源,因而,所有触发器的状态(即时序逻辑电路的状态)的变化都与所加时钟脉冲信号同步。在异步时序逻辑电路中,没有统一的时钟脉冲,某些触发器的时钟输入端与时钟脉冲源相连,这些触发器的状态变化与时钟脉冲同步,而其他触发器状态的变化并不与时钟脉冲同步。同步时序电路的速度高于异步时序电路,但电路结构一般较后者复杂;而异步时序电路的瞬时功耗要小于同步时序电路,但各触发器不同时翻转,容易引发事故。 数字电路研究和处理的对象是数字信号,而数字信号在时间上和数值上均是离散的,因而数字电路中的电子器件通常工作在饱和区和截止区,信号通常只有高电平和低电平两种状态。这两种状态可用二进制的1和0来表示,因而可以用二进制对数字信号进行编码。由于数字信号的高电平和低电平表示的都是一定的电压范围,所以我们可以着重考虑信号的有无,而不必过多关心信号的大小。数字电路主要研究电路单元系统的输入和输出状态之间的逻辑关系,即逻辑功能。 数字电路的以上特点,决定了数字电路具有速度快、精度高、抗干扰能力强和易于集成等优点,在当今的自动控制、测量仪表、数字通信和智能计算等领域,都得到了相当广泛的

时序逻辑电路设计

时序逻辑电路的设计 一、实验目的 1. 熟悉集成计数器的逻辑功能和各控制端的作用。 2. 掌握计数器的使用方法。 3. 掌握任意进制计数器的设计方法。 4. 了解8421BCD和5421BCD的编码规则。 二、实验器材 集成计数器74LS90、四2输入与非门74LS00、双4输入与非门74LS20、四异或门74LS86、六非门74LS04、显示译码器7447/7448、七段数码管 三、实验任务及要求 1. 设计要求 (1)用1片74LS90和1片与非门设计一个5进制计数器。 (2)用2片74LS90和1片与非门设计一个四十以内(十以上)的任意进制计数器。 2.实验内容 (1)测试所用芯片74LS90的逻辑功能(置0、置9、8421BCD计数输出功能)。(2)组装所设计的时序逻辑电路,并验证其功能是否正确。 提示:计数器的状态输出端分别接在实验箱上的显示译码器的输入端,用七段数码管显示计数状态值。CP接实验箱上的可调连续脉冲。 四、实验原理 1. 74LS90的逻辑功能

74LS90是二-五-十进制异步计数器。 (1)R9(1)=R9(2)=“1”,Q3Q2Q1Q0=1001,置9; (2)R0(1)=R0(2)=“1”,R9(1)‖R9(2)=“0”,Q3Q2Q1Q0=0000,置0; (3)计数脉冲由CP0端输入,输出由Q0端引出,即得二进制计数器; (4)计数脉冲由CP1端输入,输出由Q3,Q2,Q1端引出,即得五进制计数器;(5)将Q0和CP1相连,计数脉冲由CP0端输入,输出由Q3,Q2,Q1,Q0端引出,即得8421BCD码十进制计数器; 2. 时序逻辑电路的基本设计方法 Step 1:明确设计电路功能,作出基于功能涉及到的所有编码排序的状态转换图;Step 2:判断电路是否有输入或输出变量,并根据状态转换图画出状态转换表; Step 3:根据状态转换表,分离出各触发器输出量Q 0~Q m (m=1、2、3…)、输出 变量Y的卡诺图并化简,得到各个触发器的状态方程;

时序逻辑电路课后答案

第六章 时序逻辑电路 【题 】 分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。 Y 图P6.3 【解】驱动方程: 11323131233 J =K =Q J =K =Q J =Q Q ;K =Q ?? ??? 输出方程:3Y Q = 将驱动方程带入JK 触发器的特性方程后得到 状态方程为: n+11313131n 1 2121221n+1 3321 Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q +?=+=?=+=⊕??=?e 电路能自启动。状态转换图如图 【题 】 分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入逻辑变量。 图A6.3

Y 图P6.5 【解】 驱动方程: 12 21212() D AQ D AQ Q A Q Q ?=??==+?? 输出方程: 21Y AQ Q = 将驱动方程带入JK 触发器的特性方程后得到状态方程为: n+1 12 n+1 212() Q AQ Q A Q Q ?=??=+?? 电路的状态转换图如图 1 图A6.5 【题 】 分析图时序电路的逻辑功能,画出电路的状态转换图,检查电路能否自启动,说明电路能否自启动。说明电路实现的功能。A 为输入变量。

A Y 图P6.6 【解】驱动方程: 11221 1 J K J K A Q ==?? ==⊕? 输出方程: 1212Y AQ Q AQ Q =+ 将驱动方程带入JK 触发器的特性方程后得到状态方程为: n+111 n+1 2 12 Q Q Q A Q Q ?=??=⊕⊕?? 电路状态转换图如图。A =0时作二进制加法计数,A =1时作二进制减法计数。 01图A6.6 【题 】 分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。

时序逻辑电路的设计

时序逻辑电路的设计 班级 姓名 学号

实验四时序逻辑电路的设计 一、实验目的 1. 学习时序逻辑电路的分析方法和设计方法。 2. 熟悉并掌握利用中小规模芯片实现时序逻辑电路的方法。 3. 提高调试数字电路的能力。 二、实验任务 利用实验盒中的计数器芯片74LS90、74LS161及其他器件设计并实现一个数字钟电路,具体要求如下: 1. 时间显示范围00:00~11:59; 2. 任何时刻可实现手动清零; 3. 实现整点报时功能,声响从54 分起、整点止,并要求报时声音清晰响亮。选择 学习机上的脉冲源做时钟信号;数码管LED4~LED1 显示小时和分钟;蜂鸣器做整点报时。 三、提高任务 1. 为数字钟电路设计对表调时功能。用学习机右侧数字键盘中的“START”按键作为 调时脉冲输入,其内部电路见图1。 图1 学习机上“START”按键的内部电路 2. 为数字钟电路设计一个上电自动清零电路,即通电后从00:00 开始计时。 四、实验说明 1. 了解芯片的引脚排列,特别注意74LS90 的电源和地引脚编号与其他芯片不同。 2. 学习机上的蜂鸣器BDC 为直流蜂鸣器:工作电压为3~12V 的直流电压。蜂鸣器 的工作电流约8~15mA。若门电路不能直接驱动蜂鸣器,可搭建图2 驱动电路。

图 2(a) 9011 引脚图 图 2(b )驱动电路 3. 学习机上的带译码器数码管 LED1~LED3 用作数字 钟的分个位、分十位和小时个位的显示。 不带译码器 LED4 作为小时的十位数字显示。小时十 位只需显示数字“0”和“1”,建议用图 4 电路连接方式 实现。其中: (1) 数码管公共端“com ”接学习机中的地“GND ”; (2) 数码管的b 、c 段接学习机中的5V ; (3) 数码管的a 、d 、e 和f 四段相互连接后,接到数字钟小时十位的输出端。 五、电路设计 1. 设计思路及工作原理 首先实现计时功能,分为分钟模块和小时模块,分钟模块用2片74LS90构成,其中一片接成十进制,输出端接到数码管上显示分钟的个位,并向下一级给出进位信号,另一片接成六进制,输出接到数码管上显示分钟的十位,并向下一级给出进位信号。小时模块用一片74LS161构成,由于小时的输出非一般,所以需要经过一系列门电路。 其次实现清零功能,由于3个芯片均有异步清零端,但有的为高电平,有的为低电平,所以只需将清零信号及反相后的信号分别接在清零端就行。 然后实现报时功能,只需将两片74LS90的输出端经过几个门电路即可实现54~59给出高电平。 再实现上电清零功能,只需在清零的开关和地之间并一个电容就行,这样上电后清零端给出低电平。 最后实现调时功能,加上一个控制电路很容易实现调时,但是也会出现思考题3中出现的问题,解决方案见下。 2. 电路性能测试结果。 能有效计时、报时、清零、调时,实现了要求的功能。 图 4 LED4 的连接图 3. 在实验中遇到的问题及解决方法。 主要问题有两个:一是调时时按一下跳很多次,开始时考虑的解决方法是仿照上电清零,在开关两端加电容,但是把实验盒中的电容用了个遍也没有解决问题,后来突然一下才想到可以用D 触发器的;二是开始时加上了蜂鸣器的驱动电路,后来发现其实芯片输出的电流是可以直接驱动蜂鸣器的。 4. 此次实验的收获。 学会了时序电路的设计和调试方法。

时序逻辑电路的分析

A、与当时的输入信号有关,与电路的原状态无关。 B、与当时的输入信号有关,与电路的原状态有关。 C、与当时的输入信号无关,与电路的 原状态有关。 D、 2、时序逻辑电路中必须含有: A、存储电路 B、编码器 C、加法器 D、 3、同步时序逻辑电路所有触发器的时钟输入端都接同一个时钟脉冲。 A、各触发器不同时具备触发翻转的条 件 B、各触发器同时具备触发翻转的条件 C、各触发器的触发翻转有先有后 D、 4、异步时序逻辑电路各触发器的时钟输入所接不是同一的时钟脉冲。 A、结构简单,速度快 B、结构复杂,速度慢 C、结构简单,速度慢 D、 5、异步时序逻辑电路,各触发器: A、触发翻转有先有后 B、同时触发翻转 C、无法确定 D、 第二题、多项选择题(每题2分,5道题共10分) 1、时序逻辑电路从结构上讲,包含有: A、存储元件 B、触发器或含有反馈延迟电路 C、译码器 2、时序逻辑电路的输出信号: A、与当时的输入信号无关 B、与当时的输入信号有关 C、与电路的原状态有关

D、与电路的原状态无关 3、同步时序逻辑电路: A、所有触发器的时钟输入端都接同一个时钟脉冲 B、各触发器同时具备触发翻转的条件 C、速度快;结构简单 D、速度快;结构复杂 4、时序逻辑电路的逻辑功能可用()来描述。 A、状态方程 B、状态表 C、状态图 D、时序图 5、时序逻辑电路的分析是指已知逻辑图: A、列写逻辑方程式 B、计算状态表 C、画电路的状态图 D、画电路的时序图 E、判定电路的功能 第三题、判断题(每题1分,5道题共5分) 1、时序逻辑电路中必须含有存储电路。 正确错误 2、时序逻辑电路中的存储电路只能用延迟元件组成,不能用触发器构成。 正确错误 3、同步时序逻辑电路各触发器同时具备触发翻转的条件。 正确错误 4、异步时序逻辑电路结构简单,速度慢。

第五章 常用时序逻辑电路

第五章常用时序集成电路模块及其应用 用常用时序中规模集成模块设计数字电路仍是目前组成数字系统的主要设计方法,熟悉和掌握时序中规模集成模块的基本工作原理及其应用也是数字电子技术课程的主要任务。本章要求学生认识时序模块的国标符号、逻辑符号和时序电路模块的功能表,进而掌握用时序模块和其他电路组成的应用电路。 第一节基本知识、重点与难点 一、基本知识 (一)常用时序模块 在实际中有许多MSI产品可供选用,掌握了这些产品的逻辑功能、性能指标和使用方法,就可以方便地利用它们构成具有各种功能的数字电路,而无需采用单元触发器和门电路进行设计。 (二)计数器及其应用 计数器是用来计算输入脉冲数目的时序逻辑电路,是数字系统中应用最广泛的基本单元之一。它是用电路的不同状态来表示输入脉冲的个数。计数器所能计算脉冲数目的最大值(即电路所能表示状态数目的最大值)称为计数器的模(M)。 按进位方式,计数器可分为同步和异步两类。同步计数器的所有触发器共用一个时钟脉冲,时钟脉冲就是计数的输入脉冲。异步计数器只有部分触发器的时钟信号是计数脉冲,而另一部分触发器的时钟信号是其他触发器或组合电路的输出信号,因而各级触发器的状态更新不是同时发生的。 按进位制方式,计数器可分为二进制和非二进制(包括十进制)。 按逻辑功能方式,计数器可分为加法计数器、减法计数器和可逆计数器等。加法计数器的状态变化和数的依次累加相对应。减法计数器的状态变化和数的依次递减相对应。可逆计数器由控制信号控制实现累加或递减,可实现加法或减法计数。 若计数脉冲为一周期性信号,则模为M的计数器输出信号的频率为计数脉冲频率的1/M,也就是说,计数器具有分频的功能,可作为数字分频器使用。 工程中经常用到的序列信号发生器,也可由计数器设计而成。 (三)寄存器及其应用 寄存器与移位寄存器均是数字系统中常见的逻辑模块。寄存器用来存放二进制数码,移位寄存器除具有寄存器的功能外,还可将数码移位。 1.寄存器 寄存器用来存放二进制数码。事实上每个触发器就是一位寄存器。74175是由四个具有公共清零度端的上升沿D型触发器构成的中规模集成电路。 2.移位寄存器 移位寄存器具有移位功能,即除了可以存放数据以外,还可将所存数据向左或向右移位。 移位寄存器有单向移位和双向移位之分,还常带有并行输入端。74195是带有并行存取功能的四位单向移位寄存器。74194是可并行存取的四位双向移位寄存器,是一种功能比较齐全的移位寄存器,它具有左移、右移、并行输入数据、保持以及清除等五种功能。 利用移位寄存器可以很方便地将串行数据变换为并行数据,也可以将并行数据变换为串行数据。计算机中外部设备与主机之间的信息交换常常需要这种变换。

时序逻辑电路分析举例

时序逻辑电路分析例题 1、分析下图时序逻辑电路。 解: 1、列出驱动方程:111==K J 1//122Q A AQ K J +== 2、列出状态方程: 将驱动方程代入JK 触发器的特性方程Q K JQ Q //*+=得: /1*1Q Q = 212/1//21//2/1*2Q AQ Q Q A Q Q A Q AQ Q +++= 3、列出输出方程: 21//2/1Q Q A Q AQ Y += 4、列出状态转换表: (1)当A=1时: 根据:/1*1Q Q =;21/2/1*2Q Q Q Q Q +=;/ 2 /1Q Q Y =得: (2)当A=0时:

根据:/1*1Q Q =;2/1/ 21*2Q Q Q Q Q +=;21Q Q Y =得: 5、画状态转换图: 6、说明电路实现的逻辑功能: 此电路是一个可逆4进制(二位二进制)计数器,CLK 是计数脉冲输入端,A 是加减控制端,Y 是进位和借位输出端。当控制输入端A 为低电平0时,对输入的脉冲进行加法计数,计满4个脉冲,Y 输出端输出一个高电平进位信号。当控制输入端A 为高电平1时,对输入的脉冲进行减法计数,计满4个脉冲,Y 输出端输出一个高电平借位信号。 2、如图所示时序逻辑电路,试写出驱动方程、状态方程,画出状态图,说明该电路的功能。 解:驱动方程 ?? ?=⊕=1010K Q X J n ???=⊕=11 1K Q X J n 状态方程 ()()n n n n n n n n n n n n n n Q XQ Q Q X Q Q X Q Q Q X Q Q X Q Q X Q 0 1010 1 10 01011011+=⊕=+=⊕=++ CP X Z

相关文档
最新文档