数字逻辑实验报告

合集下载

数字逻辑实验报告实验

数字逻辑实验报告实验

一、实验目的1. 理解数字逻辑的基本概念和基本原理。

2. 掌握数字逻辑电路的基本分析方法,如真值表、逻辑表达式等。

3. 熟悉常用数字逻辑门电路的功能和应用。

4. 提高数字电路实验技能,培养动手能力和团队协作精神。

二、实验原理数字逻辑电路是现代电子技术的基础,它主要研究如何用数字逻辑门电路实现各种逻辑功能。

数字逻辑电路的基本元件包括与门、或门、非门、异或门等,这些元件可以通过组合和连接实现复杂的逻辑功能。

1. 与门:当所有输入端都为高电平时,输出端才为高电平。

2. 或门:当至少有一个输入端为高电平时,输出端为高电平。

3. 非门:将输入端的高电平变为低电平,低电平变为高电平。

4. 异或门:当输入端两个高电平或两个低电平时,输出端为低电平,否则输出端为高电平。

三、实验内容1. 实验一:基本逻辑门电路的识别与测试(1)认识实验仪器:数字电路实验箱、逻辑笔、示波器等。

(2)识别与测试与门、或门、非门、异或门。

(3)观察并记录实验现象,分析实验结果。

2. 实验二:组合逻辑电路的设计与分析(1)设计一个简单的组合逻辑电路,如加法器、减法器等。

(2)根据真值表列出输入输出关系,画出逻辑电路图。

(3)利用逻辑门电路搭建电路,进行实验验证。

(4)观察并记录实验现象,分析实验结果。

3. 实验三:时序逻辑电路的设计与分析(1)设计一个简单的时序逻辑电路,如触发器、计数器等。

(2)根据电路功能,列出状态表和状态方程。

(3)利用触发器搭建电路,进行实验验证。

(4)观察并记录实验现象,分析实验结果。

四、实验步骤1. 实验一:(1)打开实验箱,检查各电路元件是否完好。

(2)根据电路图连接实验电路,包括与门、或门、非门、异或门等。

(3)使用逻辑笔和示波器测试各逻辑门电路的输出,观察并记录实验现象。

2. 实验二:(1)根据实验要求,设计组合逻辑电路。

(2)列出真值表,画出逻辑电路图。

(3)根据逻辑电路图连接实验电路,包括所需逻辑门电路等。

数字逻辑实验报告解析

数字逻辑实验报告解析

一、实验背景数字逻辑是电子技术与计算机科学的基础课程,它研究数字电路的设计与实现。

为了加深对数字逻辑电路的理解,我们进行了本次实验,通过实际操作和仿真,验证数字逻辑电路的理论知识,并掌握数字逻辑电路的设计与实现方法。

二、实验目的1. 理解数字逻辑电路的基本原理和组成。

2. 掌握逻辑门电路、组合逻辑电路和时序逻辑电路的设计方法。

3. 通过实验验证数字逻辑电路的功能,提高动手能力和分析问题能力。

三、实验内容1. 逻辑门电路实验(1)实验目的:学习分析基本的逻辑门电路的工作原理,掌握与门、或门、非门等基本逻辑门电路的逻辑功能。

(2)实验步骤:①按照实验指导书的要求,连接实验电路;②根据输入信号,观察输出信号,验证逻辑门电路的逻辑功能;③记录实验结果,分析实验现象。

(3)实验结果与分析:实验结果显示,与门、或门、非门等基本逻辑门电路的逻辑功能符合预期。

通过实验,我们加深了对逻辑门电路工作原理的理解。

2. 组合逻辑电路实验(1)实验目的:掌握组合逻辑电路的设计方法,验证组合逻辑电路的功能。

(2)实验步骤:①根据实验要求,设计组合逻辑电路;②按照实验指导书的要求,连接实验电路;③根据输入信号,观察输出信号,验证组合逻辑电路的功能;④记录实验结果,分析实验现象。

(3)实验结果与分析:实验结果显示,设计的组合逻辑电路功能符合预期。

通过实验,我们掌握了组合逻辑电路的设计方法,提高了逻辑思维能力。

3. 时序逻辑电路实验(1)实验目的:掌握时序逻辑电路的设计方法,验证时序逻辑电路的功能。

(2)实验步骤:①根据实验要求,设计时序逻辑电路;②按照实验指导书的要求,连接实验电路;③根据输入信号,观察输出信号,验证时序逻辑电路的功能;④记录实验结果,分析实验现象。

(3)实验结果与分析:实验结果显示,设计的时序逻辑电路功能符合预期。

通过实验,我们掌握了时序逻辑电路的设计方法,提高了逻辑思维能力。

四、实验总结通过本次实验,我们完成了以下任务:1. 理解了数字逻辑电路的基本原理和组成;2. 掌握了逻辑门电路、组合逻辑电路和时序逻辑电路的设计方法;3. 通过实验验证了数字逻辑电路的功能,提高了动手能力和分析问题能力。

数字逻辑实验报告

数字逻辑实验报告

数字逻辑实验报告数字逻辑实验报告引言数字逻辑是计算机科学中的重要基础知识,通过对数字信号的处理和转换,实现了计算机的高效运算和各种复杂功能。

本实验旨在通过实际操作,加深对数字逻辑电路的理解和应用。

实验一:二进制加法器设计与实现在这个实验中,我们需要设计一个二进制加法器,实现两个二进制数的加法运算。

通过对二进制数的逐位相加,我们可以得到正确的结果。

首先,我们需要将两个二进制数输入到加法器中,然后通过逻辑门的组合,实现逐位相加的操作。

最后,将得到的结果输出。

实验二:数字比较器的应用在这个实验中,我们将学习数字比较器的应用。

数字比较器可以比较两个数字的大小,并输出比较结果。

通过使用数字比较器,我们可以实现各种判断和选择的功能。

比如,在一个电子秤中,通过将待测物品的重量与设定的标准重量进行比较,可以判断物品是否符合要求。

实验三:多路选择器的设计与实现在这个实验中,我们需要设计一个多路选择器,实现多个输入信号中的一路信号的选择输出。

通过使用多路选择器,我们可以实现多种条件下的信号选择,从而实现复杂的逻辑控制。

比如,在一个多功能遥控器中,通过选择不同的按钮,可以控制不同的家电设备。

实验四:时序电路的设计与实现在这个实验中,我们将学习时序电路的设计与实现。

时序电路是数字逻辑电路中的一种重要类型,通过控制时钟信号的输入和输出,实现对数据的存储和处理。

比如,在计数器中,通过时序电路的设计,可以实现对数字的逐位计数和显示。

实验五:状态机的设计与实现在这个实验中,我们将学习状态机的设计与实现。

状态机是一种特殊的时序电路,通过对输入信号和当前状态的判断,实现对输出信号和下一个状态的控制。

状态机广泛应用于各种自动控制系统中,比如电梯控制系统、交通信号灯控制系统等。

实验六:逻辑门电路的优化与设计在这个实验中,我们将学习逻辑门电路的优化与设计。

通过对逻辑门电路的布局和连接方式进行优化,可以减少电路的复杂性和功耗,提高电路的性能和可靠性。

数字逻辑实验报告

数字逻辑实验报告

数字逻辑实验报告数字逻辑是一门关于数字电路与计算机硬件的专业学科,数学与电子学是数字逻辑的主要支撑学科。

数字逻辑实验则是数字逻辑课程中重要的一环,通过数字逻辑实验,学生们可以更加直观地了解数字电路的原理与构造,掌握数字逻辑设计和模拟的基本方法和技能。

在这次数字逻辑实验中,我们使用了FPGA平台和Verilog HDL编程语言进行数字电路的设计和模拟。

在实验中,我们以设计一个给定数码在七段显示器上输出的电路为例,具体实现方法如下。

首先,我们需要了解七段显示器的原理。

七段显示器是一种基于数码管工作原理的显示设备,它由七个LED元件(排列成了基本的数字“8”形状)和数码控制器组成。

每个LED元件可以显示数字“0”到“9”以及一些字母和特殊符号。

某个数字或字母在七段数码管上的显示是由对应的七段LED元件亮灭状态的组合来实现的。

接着,我们需要确定给定数字在七段显示器上显示的亮灭状态的对应表。

例如,数字“0”的亮灭状态可以表示为1111110,其中1表示亮,0表示灭。

通过查找资料或自行设计,我们可以获得数字0到9的显示亮灭状态的对应表。

然后,我们需要根据数字的输入和输出设计电路。

电路的输入是一个N位二进制数码,输出是控制七段数码管显示的亮灭状态。

我们可以使用Verilog HDL语言描述电路的模块,如下所示:```module seven_segment_display(input [N-1:0] num, output [6:0] seg);assign seg = {~num[3], num[2], num[1], ~(num[0] & num[2]), num[0] & num[1], ~(num[0] | num[1]), num[0] ^ num[1] ^ num[2]};endmodule```在这个Verilog HDL模块中,我们使用assign关键字将七段数码管的亮灭状态seg与输入num进行绑定。

数字逻辑实验报告

数字逻辑实验报告

数字逻辑实验报告数字逻辑实验报告引言:数字逻辑是计算机科学中的基础知识,它研究的是数字信号的处理与传输。

在现代科技发展的背景下,数字逻辑的应用越来越广泛,涉及到计算机硬件、通信、电子设备等众多领域。

本实验旨在通过设计和实现数字逻辑电路,加深对数字逻辑的理解,并掌握数字逻辑实验的基本方法和技巧。

实验一:逻辑门电路设计与实现逻辑门是数字电路的基本组成单元,由与门、或门、非门等构成。

在本实验中,我们设计了一个4位全加器电路。

通过逻辑门的组合,实现了对两个4位二进制数的加法运算。

实验过程中,我们了解到逻辑门的工作原理,掌握了逻辑门的真值表和逻辑方程的编写方法。

实验二:多路选择器的设计与实现多路选择器是一种常用的数字逻辑电路,它可以根据控制信号的不同,从多个输入信号中选择一个输出信号。

在本实验中,我们设计了一个4位2选1多路选择器电路。

通过对多路选择器的输入信号和控制信号的设置,实现了对不同输入信号的选择。

实验过程中,我们了解到多路选择器的工作原理,学会了多路选择器的真值表和逻辑方程的编写方法。

实验三:时序逻辑电路的设计与实现时序逻辑电路是一种能够存储和处理时序信息的数字逻辑电路。

在本实验中,我们设计了一个简单的时序逻辑电路——D触发器。

通过对D触发器的输入信号和时钟信号的设置,实现了对输入信号的存储和传输。

实验过程中,我们了解到D触发器的工作原理,掌握了D触发器的真值表和逻辑方程的编写方法。

实验四:计数器电路的设计与实现计数器是一种能够实现计数功能的数字逻辑电路。

在本实验中,我们设计了一个4位二进制计数器电路。

通过对计数器的时钟信号和复位信号的设置,实现了对计数器的控制。

实验过程中,我们了解到计数器的工作原理,学会了计数器的真值表和逻辑方程的编写方法。

结论:通过本次实验,我们深入了解了数字逻辑的基本原理和应用方法。

通过设计和实现逻辑门电路、多路选择器、时序逻辑电路和计数器电路,我们掌握了数字逻辑实验的基本技巧,并加深了对数字逻辑的理解。

数字逻辑转换实验报告

数字逻辑转换实验报告

一、实验目的1. 理解和掌握数字逻辑转换的基本原理和方法。

2. 掌握将不同编码形式的数字信号相互转换的技巧。

3. 通过实验验证数字逻辑转换电路的正确性和性能。

二、实验原理数字逻辑转换是指将一种数字信号转换为另一种数字信号的过程。

常见的数字逻辑转换包括BCD码与二进制码之间的转换、格雷码与二进制码之间的转换、8421码与余3码之间的转换等。

本实验主要涉及以下几种转换:1. BCD码与二进制码之间的转换:BCD码(Binary-Coded Decimal)是一种用4位二进制数表示1位十进制数的编码方式。

将BCD码转换为二进制码时,只需将每一位BCD码直接转换为对应的二进制码即可。

2. 格雷码与二进制码之间的转换:格雷码(Gray Code)是一种循环码,相邻两个码字之间只有一个位码发生改变。

将格雷码转换为二进制码时,只需将格雷码的最低位取反即可。

3. 8421码与余3码之间的转换:8421码是一种有权码,从左到右,第一位1代表2,第二位1代表4,第三位1代表2,第四位1代表1。

余3码是由8421BCD码加上0011形成的一种无权码。

将8421码转换为余3码时,只需将8421码的每一位加3即可。

三、实验设备与器材1. 数字逻辑实验箱2. 数字逻辑转换电路模块3. 示波器4. 信号发生器5. 电源四、实验步骤1. 连接实验电路:根据实验要求,连接数字逻辑转换电路模块,并确保电路连接正确。

2. 设置输入信号:使用信号发生器产生待转换的数字信号,并将其输入到转换电路中。

3. 观察转换结果:使用示波器观察转换电路的输出信号,记录实验数据。

4. 比较理论值与实验值:根据实验原理,计算理论值,并与实验值进行比较。

5. 分析实验数据:分析实验数据,总结实验结果,验证数字逻辑转换电路的正确性和性能。

五、实验数据及分析1. BCD码与二进制码之间的转换输入BCD码:0011理论转换结果:0001 0011实验转换结果:0001 00112. 格雷码与二进制码之间的转换输入格雷码:1100理论转换结果:1110实验转换结果:11103. 8421码与余3码之间的转换输入8421码:0101理论转换结果:0110实验转换结果:0110通过实验数据的对比分析,可以得出以下结论:1. 实验电路能够正确实现BCD码与二进制码、格雷码与二进制码、8421码与余3码之间的转换。

数字逻辑综合实验报告

数字逻辑综合实验报告

一、实验目的本次实验旨在通过实际操作,加深对数字逻辑基本原理和设计方法的理解,提高学生在数字电路设计、仿真和调试方面的实践能力。

通过完成以下实验任务,使学生掌握以下技能:1. 理解数字逻辑电路的基本概念和原理。

2. 掌握数字逻辑电路的设计方法和步骤。

3. 学会使用仿真软件进行电路设计和仿真测试。

4. 掌握数字逻辑电路的调试和优化方法。

二、实验内容本次实验主要包含以下三个部分:1. 组合逻辑电路设计:设计一个四位加法器,并使用Logisim软件进行仿真测试。

2. 时序逻辑电路设计:设计一个简单的计数器,并使用Verilog语言进行描述和仿真。

3. 数字逻辑电路综合应用:设计一个简单的数字信号处理器,实现基本的算术运算。

三、实验步骤1. 组合逻辑电路设计(1)分析题目要求,确定设计目标和输入输出关系。

(2)根据输入输出关系,设计四位加法器的逻辑电路。

(3)使用Logisim软件搭建电路,并设置输入信号。

(4)观察仿真结果,验证电路功能是否正确。

2. 时序逻辑电路设计(1)分析题目要求,确定设计目标和状态转移图。

(2)使用Verilog语言描述计数器电路,包括模块定义、输入输出定义、状态定义和状态转移逻辑。

(3)使用仿真软件进行测试,观察电路在不同状态下的输出波形。

3. 数字逻辑电路综合应用(1)分析题目要求,确定设计目标和功能模块。

(2)设计数字信号处理器电路,包括算术运算单元、控制单元和存储单元等。

(3)使用仿真软件进行测试,验证电路能否实现基本算术运算。

四、实验结果与分析1. 组合逻辑电路设计实验结果:通过仿真测试,四位加法器电路功能正常,能够实现两个四位二进制数的加法运算。

分析:在设计过程中,遵循了组合逻辑电路设计的基本原则,确保了电路的正确性。

2. 时序逻辑电路设计实验结果:通过仿真测试,计数器电路功能正常,能够实现从0到9的计数功能。

分析:在设计过程中,正确描述了状态转移图,并使用Verilog语言实现了电路的功能。

数字逻辑实验报告百度文库

数字逻辑实验报告百度文库

竭诚为您提供优质文档/双击可除数字逻辑实验报告百度文库篇一:数字逻辑实验报告哈尔滨师范大学数字逻辑实验报告姓名:学号:年级:班级:专业:学期:计算机科学与信息工程学院实验报告学生姓名:学号:指导教师:实验1基本门电路的功能和特性及组合逻辑电路实验【实验名称】基本门电路的功能和特性及组合逻辑电路实验【实验学时】4学时【实验目的】掌握常用集成门电路的逻辑功能与特性掌握各种门电路的逻辑符号了解集成电路的外引线排列及其使用方法学习组合逻辑电路的设计及测试方法【实验内容】部分TTL门电路逻辑功能验证组合逻辑设计之全加器或全减器【实验设备】数字逻辑实验箱双踪示波器(记录波形时,应注意输入、输出波形的时间相位关系,在座标中上下对齐。

)集成电路:7400、7404、7432、7486【实验步骤】1)在实验箱上插入相应的门电路,并把输入端接实验箱的逻辑开关,输出端接发光二极管,接好电源正负极,即可进行逻辑特性验证实验。

将其逻辑特性制成表格。

2)用7400连接的电路如图1.1所示,其中m端输入hZ 级的连续脉冲,n端输入KhZ级的连续脉冲,x和Y接逻辑开关,在xY的四种输入组合下,用示波器观测A、b及F点的波形,并记录下来,写出F=f(m、n、x、Y)的逻辑表达式。

3)实验电路如图1.2所示,在x端加入KhZ级的数字信号,逻辑开关Ab为00、01、10、11四种组合下,用示波器观察输入输出波形,解释Ab对信号的控制作用。

4)用7486和7400搭出全加器或全减器电路,画出其电路图,并按照其真值表输入不同的逻辑电平信号,观察输出结果和进位/借位电平,记录下来。

思考题:第二题用7486和7400设计一个可控制的半加/半减电路,控制端x=0时,为半加器,x=1时为半减器。

搭出电路并验证其运算是否正确。

【实验原理】1)组合逻辑电路的分析:对已给定的组合逻辑电路分析其逻辑功能。

步骤:(1)由给定的组合逻辑电路写函数式;(2)对函数式进行化简或变换;(3)根据最简式列真值表;(4)确认逻辑功能。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验五多路复用器与比较器的设计与仿真班级姓名学号指导老师一、实验目的熟悉QuartusⅡ仿真软件的基本操作,用逻辑图和VHDL语言设计实现多路复用器与比较器的设计与仿真实验内容1.参照芯片74LS153的电路结构,用逻辑图和VHDL语言设计四选一多路复用器;2 .从QuartusⅡ中取7485器件(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析,电路逻辑结构参照芯片74x85。

三、实验原理(1)74153:4选1多路复用器(4 to 1 Multiplexer),又叫4选1数据选择器用途:可以对多个输入信号进行选择。

电视机里的频道转换开关就是一个多路开关。

逻辑框图逻辑功能表Strobe G SELECT BA按照一定的周期作用输入“00”、“01”、“10”、“11”,分别输出C0、C1、C2、C3的信号。

7485:4位大小比较器(4-Bit Magnitude Comparator)逻辑框图逻辑功能表、输入的四位数由高位开始比较,若能判断大小,则输出按“大于、小于和等于”的顺序,高电平表示有效。

若四位相等,则借位从input判断。

四、实验方法与步骤实验方法:采用基于FPGA进行数字逻辑电路设计的方法。

采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是Altera EPF10K20TI144_4的FPGA试验箱。

五.实验步骤:多路复用器1、编写源代码。

(1)打开QuartusⅡ软件平台,点击File中得New Project新建工程,将工程名称建得跟文件夹名称一样为n。

在File 中New建立一个VHDL文件。

VHDL语言设计如下:library ieee;use ieee.std_logic_1164.all;entity n isport(g,b,a:in std_logic;c0,c1,c2,c3:in std_logic;y:out std_logic);end n;architecture n_arch of n isbeginprocess(g,b,a,c0,c1,c2,c3)beginif (g='1') theny<='0';else if (b<='0' and a<='0') theny<=c0;else if (b<='0' and a<='1') theny<=c1;else if (b<='1' and a<='0') theny<=c2;else if (b<='1' and a<='1') theny<=c3;end if;end if;end if;end if;end if;end process;end n_arch;(2)点击File/Save as以“.vhd”为扩展名存盘文件,命名为“n.vhd”,保存时勾选“Add file to current file”选项。

点击“processing”选择“compile tool”进行全编译,直至出现图1证明编译成功。

2.点击File中得New建立一个波形文件。

(1)点击“Edit”中“insert”的“insert nodes and bus_”,进入界面1,单击“Node Finder”,进入界面2,在“Filter”下拉列表中选择“Pins all”,点击“list”,“Nodes Found”框格中出现节点,双击节点选中节点,使节点名出现在选中的节点框格“Selected Nodes”中.点击“OK”返回界面1,再点击“OK”完成节点选择。

(2)点击“Edit”中“end time”,出现界面3,将时间设定为2.0 us;点击“Edit”中“grid size”,出现界面4,将周期设定为100ns.界面1界面2界面3界面4(3)选中节点将其上下移动,将输出节点y移到最下方,使能端g移到最上方,选择节点BA移到第二行,C0、C1、C2、C3依次排列其下;(4)点击选中节点g,将其前两个周期值设为1,后边的时间的值都设为0;使其节点ba成为高亮状态,点击左侧栏中的,进入界面5,将开始值“start value”设为10,点击“timing”,将开始时间“start time”设为0,结束时间“end time”设为2.0us,每个值的时间长度(半周期)“count every”设定为100ns,值“Muliplied by”为“1”。

点击“确定”输入信号激励。

随机设置C0、C1、C2、C3的值。

(5)点击”View”中的”Zoom out”命令缩小波形显示。

(6)点击File/Save as以“.vwf”为扩展名存盘文件,命名为“n.vwf”,保存时勾选“Add fileto current file”选项。

界面53. 波形仿真及验证。

保存波形文件后,点击”processing“中”Generate functional simulation netlist”,命令产生功能仿真网表。

出现成功后提示如下图4后,点击”assignments“中”settings”,出现以下界面6。

点击左侧栏中“simulator Settings”,在”Simulation mode”的下拉列表中选择“Functional”,指定波形激励文件”Silulation input“为本波形文件“n.vwf”,点击“OK”完成设定。

点击“Processing”中的“Start simulation”,开始功能仿真。

若仿真成功,会提示仿真成功图5,能够从Simulation Report窗口查看结果,见图6。

界面6.时序仿真:功能仿真成功后,点击”assignments“中”settings”,出现以下界面7。

点击左侧栏中“simulator Settings”,在”Simulation mode”的下拉列表中选择“timing”,指定波形激励文件”Silulation input“为本波形文件“n.vwf”,点击“OK”完成设定。

点击“Processing”中的“Start simulation”,开始功能仿真。

若仿真成功,会提示仿真成功图6,能够从Simulation Report 窗口查看结果。

界面7比较器1.编写VHD代码。

(1)打开QuartusⅡ软件平台,点击File中得New Project新建工程,将工程名称建得跟文件夹名称一样为c4。

在File 中New建立一个VHDL文件。

保存为c4.vhd。

VHDL语言设计如下:library ieee;use ieee.std_logic_1164.all;entity c4 isport(agbl,albl,aebl:in std_logic;a0,a1,a2,a3:in std_logic;b0,b1,b2,b3:in std_logic;albo,aebo,agbo:out std_logic);end c4;architecture bhv of c4 isbeginprocess(albl,aebl,agbl,a0,a1,a2,a3,b0,b1,b2,b3)beginif(a3>b3) thenagbo<='1';albo<='0';aebo<='0';else if(a3<b3) thenagbo<='0';albo<='1';aebo<='0';else if(a3=b3 and a2>b2 )thenagbo<='1';albo<='0';aebo<='0';else if(a3=b3 and a2<b2 )thenagbo<='0';albo<='1';aebo<='0';else if(a3=b3 and a2=b2 and a1>b1)thenagbo<='1';albo<='0';aebo<='0';else if(a3=b3 and a2=b2 and a1<b1)thenagbo<='0';albo<='1';aebo<='0';else if(a3=b3 and a2=b2 and a1=b1 and a0>b0)thenagbo<='1';albo<='0';aebo<='0';else if(a3=b3 and a2=b2 and a1=b1 and a0<b0)thenagbo<='0';albo<='1';aebo<='0';else if(a3=b3 and a2=b2 and a1=b1 and a0=b0 and aebl='1')thenagbo<='0';albo<='0';aebo<='1';else if(a3=b3 and a2=b2 and a1=b1 and a0=b0 and agbl='1' and albl='0'and aebl='0')thenagbo<='1';albo<='0';aebo<='0';else if(a3=b3 and a2=b2 and a1=b1 and a0=b0 and agbl='0' and albl='1'and aebl='0')thenagbo<='0';albo<='1';aebo<='0';else if(a3=b3 and a2=b2 and a1=b1 and a0=b0 and agbl='1' and albl='1'and aebl='0')thenagbo<='0';albo<='0';aebo<='0';else if(a3=b3 and a2=b2 and a1=b1 and a0=b0 and agbl='0' and albl='0'and aebl='0')thenagbo<='1';albo<='1';aebo<='0';end if;end if;end if;end if;end if;end if;end if;end if;end if;end if;end if;end if;end if;end process;end bhv;2.制作波形图:(1)选择所有节点,将结束时间“end time”设为2us,网格大小为100ns,将ao、a1、a2和a3组合为a;b0、b1、b2、b3组合为b;agbl,albl,aebl组合为gle_in;agbo、albo、aebo组合为gle_out;将节点按a,b,gle_in,gle_out的顺序排列,将图形缩小至适合范围。

相关文档
最新文档