D触发器实验报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

深圳大学实验报告

课程名称:VHDL数字电路设计教程

实验项目名称:异步复位D触发器设计及实现

学院:信息工程学院

专业:电子信息工程

指导教师:邓小莺

报告人:陈林泳学号:2011130101 班级:电子1班实验时间:2013.4.11

实验报告提交时间:2013.4.19

教务部制

实验目的与要求:

1.熟悉Xilinx ISE软件的使用;

2.掌握基本的VHDL语言,并进行简单的程序编写;

3.用VHDL语言设计编写同步与异步复位的D触发器,指出其区别,并用软件仿真出波形及基本电路设计图;

4.分析异步复位D触发器与同步复位D触发器的不同,并在波形上比较指出。

方法、步骤:

1.点击图标,运行Xilinx ISE软件,新建文件并保存。

2.编写程序,检验无误后,进行仿真。

3.仿真出波形,并进行相关的比较。

4.运行获得设计完成的电路。

实验过程及内容:

1.异步复位D触发器程序(1)程序编写:

(2)仿真波形:

(3)设计电路

2.同步复位D触发器程序(1)程序编写:

(2)仿真波形

(3)设计电路

同步D触发器跟异步D触发器的异同:

同步复位,就是即使复位信号有效,如果时钟脉冲边沿未到来,触发器也不会复位。

异步复位则不同,一旦复位信号有效,不管时钟脉冲边沿有没有到来,触发器就立即复位。

指导教师批阅意见:

成绩评定:

指导教师签字:

年月日备注:

注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。

2、教师批改学生实验报告时间应在学生提交实验报告时间后10日内。

相关文档
最新文档