数字基带传输系统的设计与仿真 09250419
通信工程——数字基带传输系统的仿真设计

通信原理课程设计说明书目录1、课程设计的目的及意义2、数字基带传输系统理论知识介绍3、设计步骤4、源程序及运行结果5、心得体会6、参考文献1、课程设计的目的及意义随着通信技术的飞速发展,通信系统的结构和功能变得越来越复杂,为了高效地完成各类研发工作,需要借助计算机辅助分析和设计工具。
通过仿真,学生可以更好地理解理论课程中所涉及到的概念、原理与计算方法。
由于在理论课上必须将复杂性限制在较低的程度,以保证能够进行分析。
在仿真过程中,允许我们方便地改变系统参数,而且通过仿真结果进行交互式和可视化的显示,可以迅速评估这些改变的影响,因此可以学习到更复杂和更实际的系统工作特性。
通过课程设计的实践学习,培养学生的设计能力和解决实际问题的能力。
这次课程设计以仿真形式进行,使学生能够掌握一种基本的仿真软件对通信系统进行仿真。
数字基带传输系统是《通信原理》课程中非常重要的一部分基础性内容为了使学生加深列通信系统的理解,其中的一些概念、原理往往需要用实验来澄清,但是该实验的实验板在市场上没有销售,而且该实验几乎无法用硬件实现;一些替代性的实验,其实验结果由于受多种因素影响,也往往不能满足要求.因此,开发一套数字基带传轱系统仿真实验软件是很有必要的。
在仿真软件设计中采用了 Mathworks 公司的 MATLAB 作为仿真工具,其仿真平台SIMUINK 具有可视化建模和动态仿真的功能。
用 SIMULINK 构造仿真系统,方法简单直观,开发的仿真系统使用时间流动态仿真,可以准确描述真实系统的每一细节,并且在仿真进行的同时具有较强的交互功能,易于使用。
另外该软件还具有较好的可扩展性和可维护性。
本次课程设计采用仿真工具 SIMUIINK,设计数字基带传输系统仿真实验软件的系统定义、模型构造的过程.通过对仿真结果分析和误码性能测试表明,该仿真系统完全符合实验要求。
MATLAB是矩阵实验室(Matrix Laboratory)的简称,是美国MathWorks公司出品的商业数学软件,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB和Simulink两大部分。
基于matlab的数字基带传输系统仿真实验设计

基于matlab的数字基带传输系统仿真实验设
计
数字基带传输系统仿真实验设计
一、实验目的
1.了解数字基带传输系统的基本结构和原理;
2.通过Matlab仿真来研究数字基带传输系统的性能特点;
3.实际操作,掌握Matlab对数字信号处理的基本方法。
二、实验内容
1.设计数字基带传输系统的仿真模型,包括信源、调制器、信道、解调器、接收端等模块;
2.模拟实现数字信号的采样、量化、编码等过程;
3.采用常用的调制方式,如BPSK、QPSK、16QAM等,进行调制处理,并观察不同调制方式下的信噪比和误码率的关系;
4.在传输过程中引入噪声,观察噪声对信号传输质量的影响;
5.实现误码率的计算和信噪比的测量;
6.结合实际情况,设计合适的信号处理算法,提高数字基带传输系统的性能。
三、实验步骤
1.根据实验要求,设计数字基带传输系统的仿真模型,包括信源、调制器、信道、解调器、接收端等模块;
2.实现数字信号的采样、量化、编码等处理过程;
3.采用常用的调制方式(如BPSK、QPSK、16QAM等),进行信号调制处理;
4.在传输过程中引入噪声,并观察噪声对信号传输质量的影响;
5.实现误码率的计算和信噪比的测量;
6.根据实验结果,设计合适的信号处理算法,提高数字基带传输系统的性能。
四、实验结果
1.实验结果应包括调制方式、误码率、信噪比等参数;
2.根据实验结果,评估数字基带传输系统的性能,提出改善方法。
五、实验总结
1.总结数字基带传输系统的基本结构和原理;
2.分析数字基带传输系统的性能特点,包括误码率、信噪比等;
3.掌握Matlab对数字信号处理的基本方法。
数字基带传输系统的仿真设计.

1、传号交替反转码---AMI码
4双极性归零波形这种波形是用正电平和负电平分别表示二进制码元的“1”码和“0”码,但每个电脉冲在小于码元宽度的时间内都要回到零电平,这种波形兼有双极性波形和归零波形的特点
5差分波形(相对码波形)信息码元与脉冲电平之间的对应关系是固定不变的(绝对的),故称这些波形为绝对码波形,信息码也称为绝对码
6多电平脉冲波形(多进制波形)上述各种波形都是二进制波形,实际上还存在多电平脉冲波形,也称为多进制波形。
(3)曼彻斯特Manchester码
曼彻斯特码又称数字双相码或分相码,曼彻斯特码用一个周期的方波来代表码元“1”,而用它的反相波形来代表码元“0”。这种码在每个码元的中心部位都发生电平跳变,因此有利于定时同步信号的提取,而且定时分量的大小不受信源统计特性的影响。曼彻斯特码中,由于正负脉冲各占一半,因此无直流分量,但这种码占用的频带增加了一倍。曼彻斯特码适合在较短距离的同轴电缆信道上传输。
数字基带信号传输码系统组成:
①信道形成器:其功能产生适合于信道传输的基带信号波形。
②信道:是允许基带信号通过的媒介,通常为有线信道,如双绞线、同轴电缆等,其传输特性一般不满足无失真传输条件。
③接受滤波器:用来接收信号,尽可能滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带波形有利于抽样判决。
(AMI Alternate Mark Inversion)码又称为平衡对称码。这种码的编码规则是:
数字基带信号传输系统仿真方案

1任务书试建立一个基带传输模型,采用曼彻斯特码作为基带信号,发送滤波器为平方根升余弦滤波器,滚降系数为0.5,信道为加性高斯信道,接收滤波器与发送滤波器相匹配。
发送数据率为1000bps,要求观察接收信号眼图,并设计接收机采样判决部分,对比发送数据与恢复数据波形,并统计误码率。
另外,对发送信号和接收信号的功率谱进行估计。
假设接收定时恢复是理想的。
2基带系统的理论分析2.1 基带系统传输模型及工作原理基带系统传输模型如图1所示。
1)系统总的传输特性为H(ω)=GT(ω)C(ω)GR(ω),n(t)是信道中的噪声。
2)基带系统的工作原理:信源是不经过调制解调的数字基带信号,信源在发送端经过发送滤波器形成适合信道传输的码型,经过含有加性噪声的有线信道后,在接收端通过接收滤波器的滤波去噪,由抽样判决器进一步去噪恢复基带信号,从而完成基带信号的传输。
2.2 基带系统设计中的码间干扰及噪声干扰码间干扰及噪声干扰将造成基带系统传输误码率的提升,影响基带系统工作性能。
1)码间干扰及解决方案码间干扰:由于基带信号受信道传输时延的影响,信号波形将被延迟从而扩展到下一码元,形成码间干扰,造成系统误码。
解决方案:①要求基带系统的传输函数H(ω)满足奈奎斯特第一准则:若不能满足奈奎斯特第一准则,在接收端加入时域均衡,减小码间干扰。
②基带系统的系统函数H(ω)应具有升余弦滚降特性。
如图2所示。
这样对应的h(t)拖尾收敛速度快,能够减小抽样时刻对其他信号的影响即减小码间干扰。
2)噪声干扰及解决方案噪声干扰:基带信号没有经过调制就直接在含有加性噪声的信道中传输,加性噪声会叠加在信号上导致信号波形发生畸变。
解决方案:①在接收端进行抽样判决;②匹配滤波,使得系统输出信噪比最大。
3基带系统设计方案3.1 信源1)常见的基带信号波形有:单极性波形、双极性波形、单极性归零波形和双极性归零波形。
双极性波形可用正负电平的脉冲分别表示二进制码“1”和“0”,故当“1”和“O”等概率出现时无直流分量,有利于在信道中传输,且在接收端恢复信号的判决电平为零,抗干扰能力较强。
通信原理实验数字基带传输仿真实验

通信原理实验数字基带传输仿真实验本文记录的是一次通信原理实验,具体实验内容是数字基带传输仿真实验。
这个实验旨在让学生了解并掌握数字基带传输的基本原理、信号调制和调制解调的方法,并通过仿真实验加深对数字基带传输的理解。
实验步骤:第一步:实现数字基带信号的产生。
我们采用MATLAB编写代码来产生数字基带信号。
具体而言,我们可以选择产生脉冲振幅调制(PAM)、脉冲宽度调制(PWM)、脉冲频率调制(PFM)等各种调制方式。
第二步:实现数字基带信号的传输。
我们可以通过MATLAB编写代码,将数字基带信号在传输媒介中进行仿真。
具体而言,我们可以选择传输介质为AWGN信道、多径信道等,通过加入信噪比、码元传输速率、波特率等参数来模拟不同的传输环境。
第三步:实现数字基带信号的调制。
我们采用调制器进行数字信号的调制。
常见的数字调制方式有AM调制、FM调制、PM调制等。
此处我们选择了二进制相移键控(BPSK)调制来进行数字基带信号的调制。
第四步:实现数字基带信号的解调。
我们采用解调器来实现数字基带信号的解调。
常见的数字解调方式有包络检测法、抑制互调法等。
此处我们选择了直接判决法来进行数字基带信号的解调。
第五步:实现数字基带信号的重构。
我们通过将数字基带信号解调后还原成原始信号进行数字信号的重构。
此处我们需要通过MATLAB代码将解调后的数字信号还原成原始信号,并绘制出波形图进行对比分析。
实验结果:通过对仿真实验的分析,我们得出了一些结论。
首先,不同的数字基带信号相对应不同的调制方式,比如我们可以选择PAM调制来实现计算机通讯中的以太网传输。
其次,数字基带信号的传输受到了多种因素的影响,包括信道的噪声、信噪比、码元传输速率、波特率等。
第三,数字基带信号的解调方式有很多种,我们需要根据传输环境的不同来选择最适宜的解调方式。
最后,数字基带信号的重构是一个非常重要的环节,它能够让我们了解数字基带信号在传输过程中所带来的信息损失和失真情况。
实验三数字基带传输系统建模和仿真

实验三 数字基带传输系统的建模与仿真一. 实验目的1. 了解数字基带传输系统的建模过程2. 了解数字基带传输系统的仿真过程二. 实验内容建立一个基带传输模型,发送数据为二进制双极性不归零码,发送滤波器为平方根升余弦滤波器,信道为加性高斯信道,接收滤波器与发送滤波器相匹配,接收机能自行恢复系统同步信号。
要求观察接收信号眼图,并设计接收机采样判决部分,对比发送数据与恢复数据波形,并统计误码率。
三. 实验原理数字基带传输系统框图如图5-1所示,它主要由脉冲形成器、发送滤波器、信道、接收滤波器和抽样判决器等部件组成为保证数字基带。
系统正常工作,通常还应有同步系统。
图中各部分原理及作用如下:脉冲形成器:输入的是由电传机、计算机等终端设备发送来的二进制数据序列或是经模/数转换后的二进制脉冲序列,用{}k d 表示,它们一般是脉冲宽度为T 的单极性码。
脉冲形成器的作用是将{}k d 变换成比较适合信道传输的码型,并提供同步定时信息,使信号适合信道传输,保证收发双方同步工作。
发送滤波器:发送滤波器的传输函数为()T G ω,其作用是将输入的矩形脉冲变换成适合信道传输的波形。
这是因为矩形波含有丰富的高频成分,若直接送入信道传输,容易产生失真。
信道:信道传输函数为()C ω。
基带传输的信道通常为有线信道,如市话电缆和架空明线等,信道的传输特性通常是变化的,信道中还会引入噪声。
在通信系统的分析中,常常把噪声等效,集中在信道引入。
这是由于信号经过信道传输,受到很大衰减,在信道的输图5-1 数字基带传输系统出端信噪比最低,噪声的影响最为严重,以它为代表最能反映噪声干扰影响的实际情况。
但如果认为只有信道才引入噪声,其他部件不引入噪声,是不正确的。
G ,它的主要作用是滤除带外噪声,对信道接收滤波器:接收滤波器的传输函数为()R特性进行均衡,使输出信噪比尽可能大并使输出的波形最有利于抽样判决。
抽样判决器:它的作用是在信道特性不理想及有噪声干扰的情况下,正确恢复出原来的基带信号。
数字基带传输系统设计

论文题目:数字基带传输系统设计 学 院:信息工程学院
专 业 班 级 : 电 信 092 班 指导老师:张瑾 学生姓名:叶园园 王建峰 陈鑫 吴涛 李文科
完成日期: 2012 年 10 月 5 日
研究报告
1、项目题目
数字基带传输系统设计
2、项目概述
数字通信的基带传输方式是数字通信最基本的传输方式, 随着数字通信技术 的发展, 这种方式也有迅速发展的趋势。由于理论上已经证明任何一个采用线性 调制的频带传输系统, 总可以由一个等效的基带传输系统替代,所以对基带传输 系统的研究也将迁移到频带传输系统的研究中,因而具有普遍意义。 本项目选用 Altera 公司的 EP2C5T144C8N 芯片作为处理器, 来实现的数字基 带传输系统。 使其具有数字基带信号发生、 多种编码输出、 信道传输 (模拟加噪) 及解码恢复等功能。其中基带传输码型的编码与解码以及信道噪声的模拟,用 VHDL 硬件描述语言编程实现。单/双极性变换、噪声叠加与信道传输幅频特性的 模拟, 将分别采用中规模数字集成电路和模拟电路实现。系统将具有工作可靠性 高、可在线修改设计等优点。library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hdb3_coding is port( data_in :in std_logic; clock :in std_logic; data_out :out std_logic_vector(1 downto 0)); end hdb3_coding; architecture rtl of hdb3_coding is signal reg :std_logic_vector(3 downto 0); signal parity :std_logic; --记录破坏点间 1 码个数的奇偶性 signal judge_v :std_logic; --判断是否有破坏符 signal grant_cnt:std_logic; --允许开始计算破坏点间的 1 码个数 signal last_sign:std_logic; --上一输出的符号 signal v_cnt :std_logic_vector(2 downto 0);--v 点位置跟踪计数器 begin process(clock) -- 移位寄存器 ,插 V begin if rising_edge(clock) then if data_in='0' and reg(3 downto 1)="000" then reg<=('1' & reg(3 downto 1)); judge_v<='1'; grant_cnt<='1'; else reg<=data_in & reg(3 downto 1); judge_v<='0'; grant_cnt<='0'; end if; end if; end process; process(clock) --计数 begin if rising_edge(clock) then if grant_cnt='1' and data_in='0' then parity<='0'; elsif grant_cnt='1' and data_in='1' then parity<='1'; elsif data_in='1' then parity<=not parity; end if; end if; end process; process(clock) --V 点跟踪 begin if rising_edge(clock) then
__数字基带传输系统的研究与设计

频特性和群时延特性,同时在数字基带传输系统中,也不
可避免需要采用一些模拟电路(例如放大器、模拟滤波器、
A/D转换器、D/A转换器),这些模拟器件的非线性也将
会改变了数字基带传输系统的幅频特性和群时延特性。
在数字通信系统中,信道群时延失真和幅度波动将引
起符号间干扰,使系统差错概率恶化。奈奎斯特第一准则 本质上可以理解为:如果信号经传输后整个波形发生变化, 但只要其特定点的抽样值保持不变,那么用再次抽样的方 法·仍然可以准确无误地恢复原始信码。通常我们根据奈 奎斯特第一准则,幅度波动的修正相对简单一些,利用FIR 滤波器来修正CIC滤波器的幅频特性,CIC滤波器群时延 波动的修正可采用群时延均衡器来实现,使之整个数字基 带传输系统的幅频特性和群时延特性接近理想低通特性。
中,根据过渡区的宽度有一系列升余弦滤波器,每种滤波
器在R/2处幅值减半,但是,过渡区从频率(1一a)R/2开 始,在(1+a)R/2结束,如图2所示,参数a相当于富余频
部分称为“滚降系数”,也称为滚降因子,取值范围从
0到1。滚降系数为a升余弦滤波器的传递函数如下[2]:
G(,f口)=
f1,
I口J≤专(1一。
1数字基带传输系统滤波器特性介绍
数字基带传输系统通常由码元影射、发送成形滤波
器、信道、接收匹配滤波器、抽样判决器和码元再生器组
成,具体框图如图1数字基带传输系统框图所示[1]。
理想基带传输系统的传输特性具有理想低通特性,其
传输函数为:
Hc御,={誉常数h:三:萋:Z
c·,
^(£)=封二肌)e—dco=如一幽 (2)
F(f)I=(篙器)5 出这些极点将被cIC滤波器的零点抵消。 同时可以算出多级CIC插补滤波器的幅频响应如下:
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
*******************实践教学*******************兰州理工大学计算机与通信学院2012年秋季学期通信系统综合训练题目:数字基带传输系统的仿真实现专业班级:09级通信(4)班姓名:苟新伟学号:09250419指导教师:陈海燕成绩:摘要这次通信系统综合训练是以Matlab/Sumulink为工具,实现基带传输系统的仿真与实现。
采用曼彻斯特码作为基带信号,发送滤波器为平方根升余弦滤波器,滚降系数为0.5,信道为加性高斯信道,接收滤波器与发送滤波器相匹配。
发送数据率为1000bps,要求观察接收信号眼图,并设计接收机采样判决部分,对比发送数据与恢复数据波形,并统计误码率。
另外,对发送信号和接收信号的功率谱进行估计。
假设接收定时恢复是理想的。
关键词:Matlab/Sumulink;基带传输系统;曼彻斯特码前言现代通信的发展趋势为数字化,随着现代通信技术的不断开发,数字调制技术已日趋成熟,在各个领域都得到了广泛的应用和认同。
因此本文对数字基带传输系统进行了仿真。
现代社会发展要求通信系统功能越来越强,性能越来越高,构成越来越复杂;这就要借助于功能强大的计算机辅助分析设计技术和工具才能实现。
现代计算机科学技术快速发展,已经研发出了新一代的可视化的仿真软件。
这些功能强大的仿真软件,使得通信系统仿真的设计和分析过程变得相对直观和便捷,由此也使得通信系统仿真技术得到了更快的发展。
本文使用的是功能强大的MATLAB软件。
MATLAB是一种使用简便的、特别适用于科学研究和工程计算的高级语言,与其他计算机语言相比,它的特点是简洁和智能化,具有极高的编程和调试效率。
通过使用MATLAB工具箱函数对数字调制进行仿真,更能直观彻底的掌握数字通信,数字调制的原理。
有助于我们的学习和研究,加深对知识的理解和运用。
MATLAB的便利性还体现在它的仿真结果还可以存放到MATLAB 的工作空间里做事后处理。
方便我们修改参数对不同情况下的输出结果进行对比。
由于MATLAB和SIMULINK是集成在一起的,因此用户可以在这两种环境下对自己的模型进行仿真、分析和修改。
目录第1章.基带传输系统原理 (3)1.1数字基带传输系统的介绍 (3)1.2 数字基带信号 (4)1.3数字通信系统模型 (6)1.3.1 数字通信系统的模型 (7)1.3.2数字基带传输系统模型 (8)第2章.基带传输系统的设计方案 (9)2.1 信源的设计 (9)2.2 发送滤波器和接收滤波器的设计 (9)2.3 信道的设计............................................. 错误!未定义书签。
2.4 抽样判决器的设计 (10)2.5 码间干扰及解决方案 (10)第3章.基带传输系统的总体设计 (10)3.1信源的建模及相关参数设置 (11)3.2发送滤波器、信道、接收匹配滤波器的建模及参数设置 (12)3.3抽样与判决器的建模及参数设置 (13)3.4基带传输系统的总模型 (14)第4章.SIMULINK下的仿真结果分析 (15)4.1 曼彻斯特编码前与编码后波形 (15)4.2 发送数据波形与接收数据波形 (15)4.3 经过滤波器、信道的各点时域波形 (15)4.4 曼彻斯特码元与解码后的波形比较 (16)4.5 接收眼图波形与分析 (16)4.6 发送信号与接收信号功率谱估计与分析 (17)4.7 误码率统计与分析 (18)总结 (19)参考文献 (20)致谢 (21)第1章基带传输系统原理1.1数字基带传输系统的介绍在数字传输系统中,其传输的对象通常是二进制数字信号,它可能是来自计算机、电传打字机或其它数字设备的各种数字脉冲,也可能是来自数字电话终端的脉冲编码调制(PCM)信号。
这些二进制数字信号的频带范围通常从直流和低频开始,直到某一频率m f ,我们称这种信号为数字基带信号。
在某些有线信道中,特别是在传输距离不太远的情况下,数字基带信号可以不经过调制和解调过程在信道中直接传送,这种不使用调制和解调设备而直接传输基带信号的通信系统,我们称它为基带传输系统。
而在另外一些信道,特别是无线信道和光信道中,数字基带信号则必须经过调制过程,将信号频谱搬移到高频处才能在信道中传输,相应地,在接收端必须经过解调过程,才能恢复数字基带信号。
我们把这种包括了调制和解调过程的传输系统称为数字载波传输系统。
数字基带传输系统的模型如图1-1 所示,它主要包括码型变换器、发送滤波器、信道、接收滤波器、均衡器和取样判决器等部分[1]。
图1.1 数字基带传输系统模型数字基带传输系统的输入信号是由终端设备或编码设备产生的二进制脉冲序列,通常是单极性的矩形脉冲信号(NRZ 码)。
为了使这种信号适合于信道的传输,一般要经过码形变换器,把单极性的二进制脉冲变成双极性脉冲(如AMI 码或 3 HDB 码)。
发送滤波器对码脉冲进行波形变换,以减小信号在基带传输系统中传输时产生的码间串扰。
信号在传输过程中,由于信道特性不理想及加性噪声的影响,会使接收到的信号波形产生失真,为了减小失真对信号的影响,接收信号首先进入接收滤波器滤波,然后再经均衡器对失真信号进行校正,最后由取样判决器恢复数字基带脉冲序列。
目前,虽然在实际使用的数字通信系统中,基带传输方式不如数字载波传输方式那样应用广泛,但由于数字基带传输系统是数字通信系统中最基本的传输方式,而且从理论上来说,任何一种线性载波传输系统都可以等效为基带传输系统,因此理解数字信号的基带传输过程十分重要。
数字基带信号有二元码和三元码,有归零码和非归零码等,有的具有直流分量,在波形上具有不同的特点,他们有不同的特点,有的低频成份多,有的高频成份多,有的具有直流分量,有的占有带宽等,所有这些在波形处理时会对一些学生产生模糊的概念,针对本科类的学生要求,他们如何理解、辨别、掌握这些信号波形的特点,同时可以让学生在仿真过程中对通信原理的各种概念加深理解。
另外,此仿真实验只需在计算机的虚拟实验室即可,不受实验场地、环境的限制[2]。
软件的功能主要有:1)实现各种常用码型的数字基带信号仿真;2)能产生随机的数字信号序列,具有普遍性;3)能绘制直观、清晰、准确、可靠的数字基带信号仿真图形;4)要对相应的码型的特点进行相应的描述。
图 1.2 仿真结构图在通信中,数字基带信号有多种码型表示,它们在传输过程中有随机性,为了让这种波形描述具有普遍性,m 序列伪随机码来作为码型的仿真数字序列。
利用MATLAB 软件仿真出每一种码型,让学生通过仿真软件的使用,加深对码和波形的理解。
1.2 数字基带信号不同形式的数字基带信号(又称为码型)具有不同的频谱结构,为适应信道的传输特性及接收端再生、恢复数字基带信号的需要,必须合理地设计数字基带信号,即选择合适的信号码型。
适合于在有线信道中传输的数字基带信号形式称为线路传输码型。
一般来说,选择数字基带信号码型时,应遵循以下基本原则[3]:(1)数字基带信号应不含有直流分量,且低频及高频分量也应尽量的少。
在基带传输系统中,往往存在着隔直电容及耦合变压器,不利于直流及低频分量的传输。
此外,高频分量的衰减随传输距离的增加会快速地增大,另一方面,过多的高频分量还会引起话路之间的串扰,因此希望数字基带信号中的高频分量也要尽量的少。
(2)数字基带信号中应含有足够大的定时信息分量。
基带传输系统在接收端进行取样、判决、再生原始数字基带信号时,必须有取样定时脉冲。
一般来说,这种定时脉冲信号是从数字基带信号中直接提取的。
这就要求数字基带信号中含有或经过简单处理后含有定时脉冲信号的线谱分量,以便同步电路提取。
实际经验告诉我们,所传输的信号中不仅要有定时分量,而且定时分量还必须具有足够大的能量,才能保证同步提取电路稳定可靠的工作。
(3)基带传输的信号码型应对任何信源具有透明性,即与信源的统计特性无关。
这一点也是为了便于定时信息的提取而提出的。
信源的编码序列中,有时候会出现长时间连“0”的情况,这使接收端在较长的时间段内无信号,因而同步提取电路无法工作。
为避免出现这种现象,基带传输码型必须保证在任何情况下都能使序列中“1”和“0”出现的概率基本相同,且不出现长连“1”或“0”的情况。
当然,这要通过码型变换过程来实现。
码型变换实际上是把数字信息用电脉冲信号重新表示的过程。
此外,选择的基带传输信号码型还应有利于提高系统的传输效率;具有较强的抗噪声和码间串扰的能力及自检能力。
实际系统中常常根据通信距离和传输方式等不同的要求,选择合适的基带码型。
1.3数字通信系统模型1.3.1 数字通信系统的模型图 1.3 数字通信系统模型1.3.2数字基带传输系统模型图 1.4 数字基带传输系统模型(1-1)(1-2)(1-3) 1.3.3 余弦滚降基带传输系统升余弦滚降传输特性H (ω)可表示为)()()(10ωωωH H H += (1-4)H (ω)是对截止频率ωb 的理想低通特性H 0(ω)按H 1(ω)的滚降特性进行“圆滑”得到的,H 1(ω)对于ωb 具有奇对称的幅度特性,其上、下截止角频率分别为ωb +ω1、ωb -ω1。
它的选取可根据需要选择,升余弦滚降传输特性H 1(ω)采用余弦函数, 此时H (ω)为(1-5)a 称为滚降系数[4]。
1.3.4 眼图眼图是指利用实验的方法估计和改善(通过调整)传输系统性能时在示波器上观察到的一种图形。
观察眼图的方法是:用一个示波器跨接在接收滤波器的输出端,然后调整示波器扫描周期,使示波器水平扫描周期与接收码元的周期同步,这时示波器屏幕上看到的图形像人的眼睛,故称 为 “眼图”。
从“眼图”上可 以观察出码间串扰和噪声的影响,从而估计系统优劣程度。
另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善)()()()(ωωωωR T G C G H =)()()(t n nT t g a t r R s R n n +-=∑∞-∞=ωωπd e H t g jwt R ⎰∞∞-=)(21)(系统的传输性能。
眼图的“眼睛”张开的大小反映着码间串扰的强弱。
“眼睛”张的越大,且眼图越端正,表示码间串扰越小;反之表示码间串扰越大。
当存在噪声时,噪声将叠加在信号上,观察到的眼图的线迹会变得模糊不清。
若同时存在码间串扰,“眼睛”将张开得更小。
与无码间串扰时的眼图相比,原来清晰端正的细线迹,变成了比较模糊的带状线,而且不很端正。
噪声越大,线迹越宽,越模糊;码间串扰越大,眼图越不端正[6]。
眼图对于展示数字信号传输系统的性能提供了很多有用的信息:可以从中看出码间串扰的大小和噪声的强弱,有助于直观地了解码间串扰和噪声的影响,评价一个基带系统的性能优劣;可以指示接收滤波器的调整,以减小码间串扰[7]。