基于FPGA的电子抢答器的程序设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

基于FPGA的电子抢答器的程序设计

摘要

随着科学技术日新月异,文化生活日渐丰富,在各类竞赛、抢答场合电子抢答器已经作为一种工具得到了较为广泛的应用。顾名思义,电子抢答器是一种通过抢答者的指示灯显示、数码显示和警示显示等手段准确、公正、直观地判断出最先获得发言权选手的设备。

此次设计有4组抢答输入,每组设置一个抢答按钮供抢答者使用。电路具有第一抢答信号的鉴别和锁存功能。当第一抢答者按下抢答开关时,该组指示灯亮以示抢答成功。同时,电路也具备自锁功能,保证能够实现在一路成功抢答有效后,其他三路均不能抢答。本设计基于VHDL语言,采用FPGA为控制核心,并结合动手实践完成,具有电路简单、操作方便、灵敏可靠等优点。该四路抢答器使用VHDL硬件描述语言进行编程,分为七个模块:判断模块,锁存模块,转换模块,扫描模块,片选模块,定时报警模块和译码模块。编程完成后,使用QuartersII工具软件进行编译仿真验证。

关键词:VHDL,FPGA,四路抢答器,仿真

目录

1 概述 (1)

1.1 设计背景 (1)

1.2 抢答器现状 (1)

1.3 本论文主要完成的工作 (1)

1.4 设计心得 (2)

2 开发工具简介 (3)

2.1 VHDL语言简介 (3)

2.2 FPGA开发过程与应用 (4)

2.2.1 FPGA发展历程及现状 (4)

2.2.2 FPGA工作原理 (4)

2.2.3 FPGA开发流程 (5)

2.3 Quartus II软件 (6)

3系统设计 (8)

3.1 系统设计要求 (8)

3.2 系统设计方案 (8)

3.2.1 系统硬件设计方案 (8)

3.2.2 系统软件设计方案 (8)

3.3.3 系统原理详述 (10)

4 电路程序设计及仿真 (12)

4.1 抢答锁存模块设计 (12)

4.1.1 VHDL源程序 (12)

4.1.2 抢答锁存电路的模块 (13)

4.2 仿真 (14)

总结 (15)

致谢 (17)

参考文献 (18)

郑州轻工业学院

课程设计任务书

题目基于FPGA的电子抢答器的程序设计

专业班级电子信息工程10-1班学号姓名

主要内容、基本要求、主要参考资料等:

主要内容:

抢答器是在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判断出抢答者的机器。要求学生使用硬件描述语言(Verilog 或者 VHDL)设计基于FPGA的电子抢答器的源程序。实现如下功能:设计一个四路抢答器;在一路成功抢答有效后,其他三路均不能抢答,并且将抢答成功的一路用指示灯显示出来。

基本要求:

1、学会quartusII的使用,掌握FPGA 的程序设计方法。

2、掌握硬件描述语言语法。

4、程序设计完成后要求在quartusII中实现功能仿真。

主要参考资料:

1、褚振勇. FPGA设计及应用(第三版)[M].西安电子科技大学出版社.2012,4

2、陈怀琛.MATLAB及在电子信息课程中的应用[M].北京:电子工业出版

社.2008,1

完成期限:2013.6.21—2013.6.25

指导教师签名:

课程负责人签名:

2013年6月18日

1 概述

1.1 设计背景

现场可编程门阵列(简称FPGA)是20世纪80年代中期出现的高密度可编程逻辑器件,采用SRAM开关元件的FPGA是易失性的,每次重新加电, FPGA都要重新装入配置数据。突出优点是可反复编程,系统上电时,给FPGA加载不同的配置数据,即可令其完成不同的硬件功能。这种配置的改变甚至可以在系统的运行中进行,实现系统功能的动态重构。“在系统可编程”(简称ISP)是指对器件、电路或整个电子系统的逻辑功能可随时进行修改或重构的能力,支持ISP技术的可编程逻辑器件称为在系统可编程逻辑器件,它不需要专门的编程器,利用计算机接口和一根下载电缆就可以对器件编程了。本设计针对电子技术综合实验的要求,利用EDA技术中quartusII作为开发工具,设计了一款基于FPGA的智力竞赛抢答器。

1.2 抢答器现状

在进行智力竞赛抢答题比赛时,各参赛者考虑后都想抢先答题。如果没有合适的设备,有时难以分清他们的先后,使主持人感到为难。为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,我们将它称为智力竞赛抢答器。在许多抢答竞赛、文体娱乐活动,为了准确、公正、直观地判断出第一抢答者,通常需要设置一台这样的抢答器,通过指示灯显示出第一抢答者。

1.3 本论文主要完成的工作

本课程设计基于VHDL语言,采用FPGA为控制核心,并结合动手实践完成,具有电路简单、操作方便、灵敏可靠等优点。设计四路抢答器使用VHDL 硬件描述语言进行编程,分为七个模块:判断模块,锁存模块,转换模块,扫描模块,片选模块,定时报警模块和译码模块。编程完成后,使用QuartersII工具软件进行编译仿真验证。系统达到要求:在一路成功抢答有效后,其他三路均不

能抢答,并且将抢答成功的一路用指示灯显示出来。

1.4 设计心得

通过这次课程设计,帮助我们加深理解FPGA程序设计方法,学会quartusII 软件的使用,了解简单多功能抢答器组成原理,掌握在quartusII中实现功能仿真的方法,相应地提高动手能力和排障能力,并且良好地巩固已学的理论知识,将硬件描述语言语法与实践相结合。通过分析多功能抢答器各单元电路之间的关系及相互影响,从而能正确设计、计算定时计数的各个单元电路。

相关文档
最新文档