EDA课程设计电气121周思博1

合集下载

EDA综合课程设计

EDA综合课程设计
返回
二、总结报告与注意事项
1、实验注意事项
严禁带电插拔“JTAG”下载电缆!为了安 全地使用下载电缆,防止损坏下载电缆中的器 件和计算机主板的并口,应在计算机及实验箱 均断电的情况下,插入或拔出下载电缆。
插入下载电缆的步骤: 确认完全断电——下载电缆并口与计算机
并口相连——下载电缆JTAG口与实验箱的 JTAG口相连——接通实验箱电源——接通计算 机电源;
(4)12位按键输入模块 12位按键输入模块如图所示,开关弹起时为高电
平,按下时为低电平。输出口最左边对应开关K1。
(5)18位拨码开关输入模块 开关拨向下时为低电平,拨向上时为高电平。输
出口最左边对应开关D17,最右边对应开关D0。
(6)蜂鸣器输出模块 信号为高电平时蜂鸣器响。
(7)8×2LED灯 8×2LED灯由16个发光二极管组成,给插座
(1)封面、前言、目录、任务书(合作人、分工 方案);
(2)正文;(设计要求、实验目的、实验方案、 实验原理、硬件要求、实验步骤、源程序 (*.vhd)和原理图(*gdf)仿真调试和下载结 果、硬件测试报告、数据处理及分析结果等等)。
(3)收获和体会;对设计工作的总结与展望;参 考文献。
要求:
(1)内容完整,主题突出,详略得当,语言流 畅;
图1-1 计数器1波形图
2、设计一个带使能输入及同步清0的增1十二进 制计数器,波形图见图1-2;
图1-2 计数器2波形图 3、设计一个带使能输入及同步清0的六十进制同 步加法计数器; 4、设计一个四位二进制可逆计数器;
5、设计一个共阴7段数码管控制接口,要求:在 时钟信号的控制下,使6位数码管动态刷新显示 上述计数器的计数结果。
拔出下载电缆的步骤:

eda课程设计5篇[修改版]

eda课程设计5篇[修改版]

第一篇:eda课程设计数字钟一、设计要求设计一个数字钟,具体要求如下:1、具有时、分、秒计数显示功能,以24小时循环计时。

2、具有清零、校时、校分功能。

3、具有整点蜂鸣器报时以及LED花样显示功能。

二、设计方案根据设计要求,数字钟的结构如图8-3所示,包括:时hour、分minute、秒second计数模块,显示控制模块sel_clock,七段译码模块deled,报时模块alert。

三、VHDL程序library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; useIEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM;--use UNISIM.VComponents.all;entityddz is port(rst,clk: in std_logic; hour_h: out std_logic_vector( 6 downto 0); hour_l: outstd_logic_vector( 6 downto 0); min_h: out std_logic_vector( 6 downto 0);min_l: out std_logic_vector( 6 downto 0);sec_h: out std_logic_vector( 6 downto 0);sec_l: out std_logic_vector( 6 downto 0)); endddz;architecture Behavioral of ddz is signalcnt: std_logic_vector(15 downto 0); signalsec_h_in: std_logic_vector( 3 downto 0); signalsec_l_in: std_logic_vector( 3 downto 0); signalmin_h_in: std_logic_vector( 3 downto 0); signalmin_l_in: std_logic_vector( 3 downto 0); signalhour_h_in: std_logic_vector(3 downto 0); signalhour_l_in: std_logic_vector(3 downto 0);signalclk_s,clk_m,clk_h: std_logic; begin process(rst,clk) begin if rst='0' then sec_h_in'0');sec_l_in'0');clk_msec_l_inifsec_h_in=5 thensec_h_inclk_melsesec_h_inclk_mend if; else sec_l_inclk_mend if; end if; end process;process(rst,clk_m) begin if rst='0' then-- min_h_in'0');min_l_in'0'); -- clk_hmin_l_inmin_h_inclk_mend if; else min_l_inend if; end if; end process;process(rst,clk_n) begin if rst='0' then-- hour_h_in'0');hour_l_in'0'); -- clk_hhour_l_inhour_h_inclk_nend if; else hour_l_inend if; end if; end process;process(sec_l_in) begin casesec_l_in iswhen "0000" =>sec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lprocess(sec_h_in) begin casesec_h_in iswhen "0000" =>sec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hprocess(min_l_in) begin casemin_l_in iswhen "0000" =>min_lmin_lmin_lwhen "0011" =>min_lmin_lmin_lmin_lmin_lmin_lmin_lmin_lprocess(min_h_in) begin casemin_h_in iswhen "0000" =>min_hmin _h min _hmin _hmin _h min _hmin _hmin _hmin _hmin _hmin _hend case; end process;process(hour_l_in) begin casehour_l_in iswhen "0000" =>hour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lprocess(hour_h_in) begin casehour_h_in iswhen "0000" =>hour_hhour_hhour_hhour_h hour _h hour _h hour _h hour _h hour _hhour_h hour _h四、VHDL仿真结果五、课程设计心得通过这次课程设计,有效得巩固了课本所学的知识,而且通过上机仿真不断发现问题并及时改正,加深了我们对该课程设计的印象。

南京理工大学EDA1实验报告(模电部分)

南京理工大学EDA1实验报告(模电部分)

南京理工大学EDA课程设计(一)实验报告专业:自动化班级:姓名:学号:指导老师:2013年10月摘要在老师的悉心指导下,通过实验学习和训练,我已经掌握基了于Multisim的电路系统设计和仿真方法。

在一周的时间内,熟悉了Multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用和掌握常见电路分析方法。

能够运用Multisim软件对模拟电路进行设计和性能分析,掌握EDA设计的基本方法和步骤。

实验一:单级放大电路的仿真及设计,设计一个分压偏置的单管电压放大电路,并进行测试与分析,主要测试最大不失真时的静态工作点以及上下限频率。

实验二:负反馈放大电路的设计与仿真,设计一个阻容耦合两级电压放大电路,给电路引入电压串联深度负反馈,,观察负反馈对电路的影响。

实验三:阶梯波发生器的设计与仿真,设计一个能产生周期性阶梯波的电路,对电路进行分段测试和调节,直至输出合适的阶梯波。

改变电路元器件参数,观察输出波形的变化,确定影响阶梯波电压范围和周期的元器件。

关键词:EDA设计及仿真multisim 放大电路反馈电路阶梯波发生器实验一:单级放大电路的仿真及设计一、实验要求1、设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) ,负载电阻5.1kΩ,电压增益大于50。

2、调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。

3、调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度最大。

在此状态下测试:(1)电路静态工作点值;(2)三极管的输入、输出特性曲线和 、r be 、r ce值;(3)电路的输入电阻、输出电阻和电压增益;(4)电路的频率响应曲线和f L、f H值。

二、实验步骤1、设计分压偏置的单级放大电路如图1-1所示:图1-1、单级放大电路原理图2、电路饱和失真输出电压波形图调节电位器的阻值,改变静态工作点,当电阻器的阻值为0%Rw,交流电压源为10mV时,显示饱和失真的波形图如图1-2所示:图1-2、电路饱和失真输出电压波形图饱和失真时的静态工作点:Ubeq=636。

EDA课程设计指导书

EDA课程设计指导书

EDA课程设计指导书————————————————————————————————作者:————————————————————————————————日期:2课程设计指导书系〔部〕专业电气工程及其自动化专业2021年11月16日课程名称:电子设计自动化设计课程编号:一、课程设计的目的3通过对FPGA〔现场可编程门阵列〕芯片的设计实践,使学生掌握一般的PLD(可编程逻辑器件〕的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。

培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技术资料的能力,受到一次电子设计自动化方面的根本训练。

二、课程设计的题目题目1:数字频率计的设计题目2:一个跑表电路的设计题目3:8位加法器的设计题目4:空调系统状态自动机的设计题目5:正负脉宽数控调制信号发生器的设计题目6:交通灯信号控制器的设计题目7:数字竞赛抢答器的设计题目8:拔河游戏机的设计题目9:彩灯控制器的设计题目10:洗衣机控制器的设计题目11:出租车计价器的设计题目12:可变周期脉冲发生器的设计三、设计内容〔主要技术关键的分析、解决思路和方案比拟等〕1、VHDL语言程序设计;2、波形仿真;3、在实验装置上进行硬件测试,并进行演示;4、提交一份完整的课程设计资料,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。

四、设计步骤1、收集材料;2、方案论证;3、设计VHDL语言程序;4、利用实验设备进行硬件联接,组装程序、运行并调试程序,验证预期的功能的实现。

5、调试运行正常后,向老师申请并等待老师的成绩评定.6、编写设计说明和使用说明书,写出设计小结.五、设计要点掌握可编程逻辑器件的工程设计方法,利用QuartusⅡ集成开发环境完成电路的设计。

1、新建工程42、输入设计工程VHDL代码3、存盘,注意文本取名4、添加约束文件5、选择目标器件6、启动编译7、仿真测试和波形分析8、编程下载/配置9、硬件测试六、设计进度安排电气1班、电气2班的单号。

数字电路-EDA课程设计之五人抢答器

数字电路-EDA课程设计之五人抢答器

一、设计说明1.1 设计思路五个参赛者每人一个开关,主持人控制总开关,主持人置高电平后,系统进入准备工作。

有人正常抢答时,相应的二极管发光,有人违规抢答时,蜂鸣器响五秒。

我设计的方案由五个高低电平控制相应的发光二极管,第六个用于主持人复位。

因此把整个课题分成两个模块:抢答器、计时器。

1.2 模块介绍1.3本次设计中用了两个模块:计时器模块和抢答器模块。

计时器模块是用74160制成,用来控制蜂鸣器响应时间。

抢答器模块用五个D触发器和五个LED指示灯制成,完成第一个开关置高电平后相应灯亮并封锁其它指示灯,使其余开关置高电平后灯不亮功能。

1.3真值表1.3.1 74160十进制加法计数器A、B、C、D为数据输入端,LDN为置数端,低电平有效,CLER是清零端,低电平有效,ENT和ENP是使能端,高电平有效,CLK为时钟输入端,QA、QB、QC、QD为数据输出端,RCO为进位输出端。

图如下所示。

1.3.2 D触发器二、原理图2.1 计时器模块原理图此计时器是用74160制成5进制计数器,将CLRN与CLK用或门连接再与输出端相与。

当CLRN输入0时,QA、QB、QC输出0,输入1时,计数器开始工作。

计数到五时,输出端通过与门将CLK信号封锁,使计时器保持五不变。

2.2 抢答器模块原理图C1为置零端,主持人控制,D1到D5由每位选手控制。

L1到L5为发光二极管,主持人置低电平后, L1到L5都被置零。

当主持人置为高电平时,抢答开始,最先抢答者对应的二极管发光,通过与门将CLK信号封锁,并输入到DFF中,则其他选手在按键时,输出不会有影响,则实现了一人抢答后,其他人不能再做答。

若重新开始则主持人再按C1清零即可。

2.3总设计原理图将两个模块组合加上蜂鸣器制成总电路图,实现抢答器功能。

三、波形仿真图3.1计时器模块波形仿真图3.2 抢答器模块波形仿真图3.3总电路波形仿真图四、管脚锁定及硬件连线4.1 D1~C2管脚锁定及硬件连线D1-K为开关,管脚锁定SW8、SW7、SW6、SW5、SW4、SW3 对应引脚号PIN53、47、46、45、44、41。

EDA课程设计_简易数字频率计设计

EDA课程设计_简易数字频率计设计

目录1 引言 . ...........................................................................................................................1 2 简易数字频率计设计原理 . (2)2.1基本原理 . (2)2.2原理框图 . .......................................................................................................... 2 3 各模块程序及仿真 . (4)3.1测频控制发生器ctr 模块的设计 (4)3.2待测信号计数器counter 模块的设计 . ............................................................ 4 3.3锁存器regist 模块的设计 . ............................................................................... 5 3.4顶层模块的设计 . .............................................................................................. 6 3.5 引脚锁定 . ......................................................................................................... 7 4 心得体会 . ................................................................................................................... 9 参考文献 . ..................................................................................................................... 10 附录 . (11)1 引言EDA 技术是以硬件语言为主要的描述方式,以EDA 软件为主要的设计软件,以大规模课编程逻辑器件为载体的数字电路的设计过程。

EDA课程设计--课题选择

EDA课程设计--课题选择

EDA课程设计Electronics Design Automation课程编号:04××2×××学时:1周学分:1课程性质:必修选课对象:自动化系,电气工程系,生物医学工程系内容概要:利用EDA软件和下载工具实现电子技术综合问题的设计。

建议选用教材:《电子技术实验指导书》李国丽、朱维勇主编,中国科技大学出版社主要参考书:《电子技术基础模拟部分》康华光主编,高教出版社《数字电子技术基础》阎石主编,高教出版社《EDA课程设计》教学大纲学时:1周学分:1教学大纲说明一、课程的目的与任务《EDA课程设计》(注:EDA即电子设计自动化,Electronics Design Automation)是继《模拟电子技术基础》、《数字电子技术基础》、《电子技术基础实验》课程后,电气类、自控类和电子类等专业学生在电子技术实验技能方面综合性质的实验训练课程,是电子技术基础的一个部分,其目的和任务是通过一周的时间,让学生掌握EDA的基本方法,熟悉一种EDA软件(MAXPLUS2),并能利用EDA软件设计一个电子技术综合问题,并在实验板上成功下载,为以后进行工程实际问题的研究打下设计基础。

二、课程的基本要求1、通过课程设计使学生能熟练掌握一种EDA软件(MAXPLUS2)的使用方法,能熟练进行设计输入、编译、管脚分配、下载等过程。

2、通过课程设计使学生能利用EDA软件(MAXPLUS2)进行至少一个电子技术综合问题的设计(内容可由老师指定或自由选择),设计输入可采用图形输入法或AHDL硬件描述语言输入法。

3、通过课程设计使学生初步具有分析、寻找和排除电子电路中常见故障的能力。

4、通过课程设计使学生能独立写出严谨的、有理论根据的、实事求是的、文理通顺的字迹端正的课程设计报告。

5、考查形式为:结合课程设计中的能力表现和设计报告,综合评分。

三、与其他课程的联系与分工《EDA课程设计》中应用了《模拟电子技术基础》、《数字电子技术基础》中的基础知识和基本理论,并且利用了《电子技术基础实验》中的基本实验方法,在时间上的安排上应该在这些课程之后。

eda课程设计1203010要点

eda课程设计1203010要点

《EDA技术》课程设计报告题目: FPGA数字时钟设计班级: 12电信本2学号: 1203010211姓名:高翔同组人员:汤吉鑫王正提指导教师:杨祖芳2015年 5月1日目录1 设计任务 (1)2 总体设计方案 (1)2.1 设计思路 (1)2.2 总体设计框图 (1)3 单元电路设计 (1)3.1 秒计数器模块设计与实现 (1)3.2 分计数器模块设计与实现 (3)3.3 时计数器模块设计与实现 (4)3.4 校准模块 (5)3.5 BCD七段显示译码器 (5)3.6 3-8线译码器模块设计与实现 (6)3.7 分频器的设计与实现 (7)3.8 去抖模块 (7)3.9 动态扫描数码显示器 (8)3.10 顶层原理设计图 (8)4 硬件测试与结果分析 (9)4.1 硬件测试 (9)4.2 测试过程及结果分析 (9)5 收获与体会 (10)参考书目 (10)附录 (11)1 设计任务设计并实现具有一定功能的数字钟。

包括清零、置数、计数、报时等功能。

(1)具有时、分、秒计数显示功能,且以24小时循环计时。

(2)具有清零的功能,且能够对计时系统的小时、分钟进行调整。

(3)具有整点报时功能。

2 总体设计方案2.1 设计思路本设计采用层次化设计方式,先设计数字时钟的底层器件:秒计数器、分计数器、时计数器、bcd 七段显示译码器、3-8译码器、分频器、动态扫描数码显示器。

顶层采用原理图设计方式,将所设计的底层器件连接起来构成一个具有计时和调时功能的数字时钟。

2.2 总体设计框图图2-2 设计框图3 单元电路设计3.1 秒计数器模块设计与实现计时模块使用的时钟信号为1Hz 。

秒计时模块为60进制计数器,也可以看为个位为10进制十位为6进制。

当秒的个位显示到9时,下一秒向十位进1并将个位重新归零并开始计数,当十位为5个位为9时,计时器下一秒向分计数器进1并同时将秒计时器个位、十位归零。

也可以看成计时器从00开始计数到59,当秒显示为59时,下一秒将显示00并从新开始计数,同时向分位为进1。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

齐齐哈尔大学 1 计 控 学 院 College of computer and control engineering Qiqihar university

电气工程课程设计报告

系 别 电 气 工 程 系 班 级 电 气 121 姓 名 周 思 博 指导教师 李艳东、赵丽娜 提交日期 2014年 12 月26日

LCD显示电子密码锁设计 第1章 设计背景及意义 锁具是源流千古的社会性用品,主要用于日常生活和工作中,住宅与部门的安全防范。当前门锁的主流是弹子锁,其保密性不强,钥匙容易被仿制和意外丢失;且持有者需随时携带钥匙,使用不方便。保存贵重物件的保险箱主要用机械密码锁,其结构较为复杂,制造精度要求高,成本高昂,且易出现故障。针对原有锁具的特点和缺陷,为满足人们对锁的使用需求,增加其安全性,用密码代替钥匙的电子密码锁应运而生。 齐齐哈尔大学 2 早期开发的电子密码锁由于电子器件所限,种类不多,保密性差,最基本的就是只依靠最简单的模拟电子开关来实现的,制作简单但不安全。后来多是基于EDA实现,其电路结构复杂,电子元件繁多,也有使用早先2051系列单片机来实现的,但密码简单,容易破解。随着电子元件的进一步发展,电子密码锁也出现了很多的种类,功能日益强大,使用更加方便,保密安全性更强;由以前的单密码输入发展到现在密码加感应元件,实现了真正的电子加密。电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。电子密码锁的出现给人们带来了极大的方便,有很广阔的市场前景。现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的。本系统采用STC89C52单片机,应用液晶显示器1602作为输出显示系统提示信息的电子密码锁的设计与实现。 第2章 方案论证 2.1功能要求 1密码的设定,此密码是固定在程序存储器ROM中,假设预设的密码为“123456”共6位密码。 2密码的输入: 采用两个按键来完成密码的输入,其中一个按键为功能键,另一个按键为数字键。在密码都已经输入完毕并且确认功能键之后,才能完成密码的输入过程。然后进入密码的判断比较处理状态并给出相应的处理过程。 3按键禁止功能:初始化时,允许按键输入密码,当有按键按下并开始进入按键识别状态时,按键禁止功能被激活,但启动的状态是在3次密码输入不正确的情况下发生的。 4当密码出入正确时,指示灯亮,否则指示灯属于灭的状态,并密码错误三次,蜂鸣器报警并启动定时器计时。 2.2 单片机的选择 方案一:采用传统的STC89C52RC作为电机的控制核心。单片机算术运算功能强,软件编程灵活、自由度大,可用软件编程实现各种算法和逻辑控制,并且由于其功耗低、体积小、技术成熟和成本低等优点,使其在各个领域应用广泛。 方案二:采用FTC10F04单片机,还带有非易失性Flash程序存储器。它是一种高性能、低功耗的8位CMOS微处理芯片,市场应用最多。其主要特点如下:8KB Flash ROM,可以擦除1000次以上,数据保存10年。 由于本系统对CPU运算速度要求很高,需要执行很复杂的运算,方案一成本比较低,适合做设计,方案二运算速度高,性能好,所以两种方案都有可取之处。选用方案一作为主方案,方案二作为备用方案。 2.3 显示模块的选择 方案一:使用液晶显示屏显示。 液晶显示屏(LCD)具有轻薄短小、低耗电量、无辐射危险,平面直角显示以及影象稳定不闪烁等优势,可视面积大,画面效果好,分辨率高,抗干扰能力强等特点。 方案二:使用传统的LED数码管显示。 数码管具有:低能耗、低损耗、低压、寿命长、耐老化、防晒、防潮、防火、防高(低)温,对外界环境要求低,易于维护。数码管采用BCD编码显示数字,但是数码管显示单一。根据以上的论述,采用方案一。在本系统中,采用了液晶lcd1602显示。 2. 4 密码输入键盘选择 方案一:使用独立式键盘。独立式键盘是指直接用I/O口线构成的单个按键电路。独立式按键电路配置灵活,软件结构简单。 方案二:使用4*4矩阵式键盘。矩阵式键盘是由行线和列线组成,按键位于行、列的交叉点上,行线、列线分别连接到按键开关的两端。其特点是简单且不增加成本,这齐齐哈尔大学 3 种键盘适合按键数量较多的场合。根据以上的论述,因本系统密码设计为六位数字及其他功能键,因此采用4*4矩阵式键盘。 2.5、总体方案设计 本设计主要由STC89C52RC单片机、4*4矩阵键盘、LCD1602液晶显示器AT24C02 EPROM密码存储等部分组成。其中4*4矩阵键盘用于输入数字密码和进行各种功能的实现。由用户通过连接单片机的矩阵键盘输入密码,后经过单片机对用户输入的密码与自己保存的密码进行对比,从而判断密码是否正确,然后控制引脚的高低电平传到开锁电路或者报警电路控制开锁还是报警。 2.6、所需要的元件 STC89c52单片机一块、AT24C02、LCD1602液晶、蜂鸣器一个、、电容若干、电阻若干、时钟晶振12MHZ、指示灯若干、开关若干、5V电池、高精度滑动变阻器、排阻(10k)。 第三章 系统硬件设计及主要芯片介绍 3.1、主要芯片介绍 3.1.1STC89C52 STC89C52是STC公司生产的一种低功耗、高性能CMOS8位微控制器,具有 8K 在系统可编程Flash存储器。STC89C52使用经典的MCS-51内核,但做了很多的改进使得芯片具有传统51单片机不具备的功能。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得STC89C52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。 具有以下标准功能: 8k字节Flash,512字节RAM, 32 位I/O 口线,看门狗定时器,内置4KB EEPROM, MAX810复位电路,3个16 位定时器/计数器,4个外部中断,一个7向量4级中断结构(兼容传统51的5向量2级中断结构),全双工串行口。另外 STC89X52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU 停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。最高运作频率35MHz,6T/12T可选。 3.1.2 LCD1602显示器 现在的字符型液晶模块已经是单片机应用设计中最常用的信息显示器件了。1602型LCD显示模块具有体积小,功耗低,显示内容丰富等特点。1602型LCD可以显示2行16个字符,有8位数据总线D0~D7和RS,R/W,EN三个控制端口,工作电压为5V,并且具有字符对比度调节和背光功能。

第1脚 VSS 电源地 第9脚 D2双向数据线 第2脚 VDD +5V电源 第10脚 D3双向数据线 第3脚 VEE 液晶显示偏压信号 第11脚 D4双向数据线 第4脚 RS 数据/命令选择端 第12脚 D5双向数据线 第5脚 R/ W 读/写 选择端 第13脚 D6双向数据线 第6脚 E 使能端 第14脚 D7双向数据线 第7脚 D0双向数据线 第15脚 BLA 背光源正极 第8脚 D1 双向数据线 第16脚 BLK 背光源负极

3.1.3、AT24C02 存储芯片 齐齐哈尔大学 4 AT24C02是一个2K位串行CMOS E2PROM, 内部含有256个8位字节,CATALYST公司的先进CMOS技术实质上减少了器件的功耗。AT24C02有一个16字节页写缓冲器。该器件通过IIC总线接口进行操作,有一个专门的写保护功能。AT24C02支持I2C,总线数据传送协议I2C,总线协议规定任何将数据传送到总线的器件作为发送器。任何从总线接收数据的器件为接收器。数据传送是由产生串行时钟和所有起始停止信号的主器件控制的。主器件和从器件都可以作为发送器或接收器,但由主器件控制传送数据(发送或接收)的模式,由于A0、A1和A2可以组成000~111八种情况,即通过器件地址输入端A0、A1和A2可以实现将最多8个AT24C02器件连接到总线上,通过进行不同的配置进行选择器件。 3.1.4、键盘输入模块 由于本设计所用到的按键数量较多而不适合用独立按键式键盘。采用的是矩阵式按键键盘,它由行和列组成,也称行列式键盘,按键位于行列的交叉点上,密码锁的密码由键盘输入完成,与独立式按键键盘相比,要节省很多I/O口。本设计中使用的这个4×4键盘不但能完成密码的输入还能作特别功能键使用。键盘的每个按键功能在程序设计中设置。 3.1.5、开锁模块 开锁控制电路的功能是当输入正确的密码后将锁打开。系统使用单片机其中一引脚线发出信号,经三极管放大后,由继电器驱动电磁阀动作将锁打开。用户通过键盘任意设置密码,并储存在E2PROM中作为锁码指令。只有用户操作键盘时,单片机的电源端才能得到3V电源,否则,单片机处于节电工作方式。开锁步骤如下:首先按下键盘上的开锁按键,然后利用键盘上的数字键0-9输入密码,最后按下确认键。当用户输入密码后,单片机自动识别密码,如果密码不符,则报警。只有当密码正确,单片机才能使继电器处于开锁状态。 3.1.6、报警模块 报警部分由陶瓷压电发声装置及外围电路组成,加电后不发声,当有键按下时,“叮”声,每按一下,发声一次,密码正确时,不发声直接开锁,当密码输入错误时,单片机的P1.5引脚为低电平,三极管T3导通蜂鸣器发出噪声报警。 第四章 心得体会 课程设计是培养学生综合运用所学知识,发现、提出、分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。在这次课程设计的过程中,我拥有了无数难忘的回忆和收获。当我终于完成了所有打字、绘图、排版、校对的任务后整个人都很累,但同时看着电脑荧屏上的课程设计稿件我的心里是甜的,我觉得这一切都值了。 这次课程设计我学到很多很多的东西,学会了怎么样去制定计划,怎么样去实现这个计划,并掌握了在执行过程中怎么样去克服心理上的不良情绪。不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识,掌握了一种系统的研究方法,可以进行一些简单的编程。 第五章 总原理图 电子密码锁硬件原理图,如图5-1所示。

相关文档
最新文档