电子技术综合设计报告数字钟设计

合集下载

电子技术综合设计报告数字钟设计

电子技术综合设计报告数字钟设计

电子技术综合设计报告数字钟设计目录1 数字钟的基本组成及工作原理 (1)1.1数字钟的构成 (1)1.2数字钟的工作原理 (1)2 数字钟的设计与制作 (3)2.1系统方案选择与论证 (3)2.2设计步骤与方法 (3)2.2.1 NE555脉冲电路产生 (3)2.2.2计数器电路 (5)2.2.3译码显示电路 (8)2.2.4校时电路 (11)2.3数字钟仿真 (12)2.3.1数字钟电路原理图(见附录4) (12)2.3.2系统整体仿真图(见附录6) (12)2.3.3 PCB板图(见附录2) (12)3 数字钟的扩展功能 (13)3.1定点报时 (13)3.2 12归1 (14)4 数字钟的焊接及注意事项 (16)4.1焊接元件清单(见附录3) (16)4.2实际焊接的印刷电路板元件分布图(见附录5) (16)4.3焊接注意事项 (16)5 系统软、硬件调试 (18)5.1系统软件调试过程中遇到的问题以及排查经过 (18)5.2系统硬件调试过程中遇到的问题以及排查经过 (18)6 总结及体会 (19)7参考文献 (20)附录 (21)附录1: (21)附录2: (22)附录3: (23)附录4: (24)附录5: (25)附录6: (26)1 数字钟的基本组成及工作原理1.1数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,因而需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定,通常使用石英晶体振荡器电路构成数字钟。

此外,加入了一定的扩展功能,实现了定时报时。

图1-1为数字钟的一般结构框图。

图1-1数字钟组成框图1.2数字钟的工作原理一个具有计时、显示灯基本功能的数字钟主要由振荡器、计数器、译码器、显示器等四部分组成。

多谐振荡器产生的信号输入到秒脉冲,秒脉冲送入计数器计数,技术结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。

电子技术课程设计报告---多功能数字时钟

电子技术课程设计报告---多功能数字时钟

电子技术课程设计数字钟的设计一、设计任务与要求1.能直接显示“时〞、“分〞、“秒〞十进制数字的石英数字钟。

2.可以24小时制或12小时制。

3.具有校时功能。

可以对小时和分单独校时,对分校时的时候,停顿分向小时进位。

校时时钟源可以手动输入或借用电路中的时钟。

4.整点能自动报时,要求报时声响四低一高,最后一响为整点。

5.走时精度高于普通机械时钟〔误差不超过1s/d〕。

二、方案设计与认证1、课题分析数字时钟一般由6个局部组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。

秒信号送入计数器进展计数,把累计的结果以“时〞、“分〞、“秒〞的十进制数字显示出来。

“时〞显示由二十四进制计数器、译码器和显示器构成,“分〞、“秒〞显示分别由六十进制计数器、译码器构成。

其原理框图如图1所示。

2、方案认证〔1〕振荡器振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。

数字钟的精度,主要取决于时间标准信号的频率及稳定度。

振荡器的频率越高,计时的精度就越高,但耗电量将增大。

一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。

〔2〕分频器振荡器产生的时基信号通常频率都很高,要使它变成能用来计时的“秒〞信号,需由分频器来完成。

分频器的级数和每级的分频次数要根据时基频率来定。

例如,目前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的“秒〞信号。

也可选用其他频率的时基信号,确定好分频次数后再选择适宜的集成电路。

〔3〕计数器数字钟的“秒〞、“分〞信号产生电路都由六十进制计数器构成,“时〞信号产生电路由二十四进制计数器构成。

“秒〞和“分〞计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们通常计数习惯。

“时〞计数也可以用两块十进制计数器实现,只是做成二十四进制。

电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)第一篇:电子数字时钟课程设计报告(数电)数字电子钟的设计1.设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。

而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。

且由于数字钟包括组合逻辑电路和时叙电路。

通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1.1设计指标1.时间以12小时为一个周期;2.显示时、分、秒;3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 1.2 设计要求1、电路设计原理说明2、硬件电路设计(要求画出电路原理图及说明)3、实物制作:完成的系统能达到题目的要求。

4、完成3000字的课程设计报告2.功能原理2.1 数字钟的基本原理数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。

工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。

将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计数器,可以实现24小时的累计。

LED数码管将“时、分、秒”计数器的输出状态显示。

校时电路是来对“时、分、秒”显示数字进行校对调整。

2.2 原理框图3.功能模块3.1 振荡电路多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,就能输出一定频率的矩形波形(自激振荡)。

电子工艺实习报告数字钟

电子工艺实习报告数字钟

一、实习背景随着科技的不断发展,电子工艺技术在我国得到了广泛应用。

为了提高自身的实践能力,了解电子工艺的基本原理和应用,我参加了为期一个月的电子工艺实习。

本次实习的主要内容是设计和制作一个数字钟。

二、实习目的1. 熟悉电子工艺的基本原理和操作流程;2. 掌握数字钟的设计和制作方法;3. 提高动手能力和团队合作精神;4. 培养严谨、细致的工作态度。

三、实习内容1. 数字钟的原理及设计数字钟是一种利用电子电路实现计时功能的装置。

它主要由时钟电路、显示电路和电源电路组成。

时钟电路负责产生稳定的脉冲信号,显示电路用于显示时间,电源电路为整个装置提供电能。

(1)时钟电路:采用555定时器产生1Hz的脉冲信号,经过分频电路得到1秒的脉冲信号。

(2)显示电路:采用数码管显示时间,数码管有8个引脚,分别对应8段,通过控制这些引脚的高低电平,可以实现数字的显示。

(3)电源电路:采用稳压电路为整个装置提供稳定的5V电压。

2. 数字钟的制作(1)准备材料:555定时器、电阻、电容、数码管、面包板、导线等。

(2)制作步骤:①按照电路图连接555定时器、电阻、电容等元件;②将数码管插入面包板,连接好数码管的8个引脚;③将电路板插入面包板,连接好各个元件;④调试电路,观察数码管显示的时间是否准确;⑤根据实际情况调整电路参数,确保时间显示准确。

3. 数字钟的调试与改进(1)调试:首先检查电路连接是否正确,然后观察数码管显示的时间是否准确。

若不准确,检查电路参数,进行调整。

(2)改进:为了提高数字钟的显示效果,可以增加以下功能:①设置闹钟功能,在指定时间发出声音提醒;②增加时间调整功能,方便用户调整时间;③优化电路设计,提高稳定性。

四、实习总结通过本次电子工艺实习,我掌握了数字钟的设计和制作方法,提高了自己的动手能力和团队合作精神。

以下是实习过程中的几点体会:1. 理论与实践相结合:在实习过程中,我深刻体会到理论与实践相结合的重要性。

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。

本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。

二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。

同时,使用按键作为输入进行功能的选择和设置。

2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。

将按键连接到FPGA的IO口,通过IO口输入按键的信号。

此外,还需要连接一个晶振电路来提供时钟信号。

3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。

具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。

通过按键的输入来切换不同的状态,实现不同功能的切换和设置。

4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。

(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。

(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。

(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。

以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。

数字电子技术课程设计报告报告——电子钟设计

数字电子技术课程设计报告报告——电子钟设计

数字电子技术课程设计报告课题:数字钟的设计与制作学年:专业:班级:姓名:数字电子技术课程设计报告一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计内容及要求〔1〕设计指标①由晶振电路产生1HZ标准秒信号;②分、秒为00~59六十进制计数器;③时为00~23二十四进制计数器;④周显示从1~日为七进制计数器;⑤具有校时功能,可以分别对时及分进展单独校时,使其校正到标准时间;⑥整点具有报时功能,当时间到达整点前鸣叫五次低音〔500HZ〕,整点时再鸣叫一次高音〔1000HZ〕。

〔2〕设计要求①画出电路原理图〔或仿真电路图〕;②元器件及参数选择;③电路仿真与调试。

〔3〕制作要求自行装配和调试,并能发现问题和解决问题。

〔4〕编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、原理框图数字钟实际上是一个对标准频率〔1HZ〕进展计数的计数电路。

由于计数的起始时间不可能与标准时间〔如时间〕一致,故需要在电路上加一个校时电路,同时标准的1HZ 时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

数字电子钟的总体图如图〔1〕所示。

由图〔1〕可见,数字电子钟由以下几局部组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校对电路;六十进制秒、分计数器、二十进制时计数器及七十进制日计数器;以及秒、分、时的译码显示局部等。

四、主要局部的实现方案1 秒脉冲电路由晶振32768Hz经CD4060分频为2Hz,再经过74LS74一次分频,即得1Hz 标准秒脉冲,提供应时钟计数脉冲。

数字钟的设计报告完整版

数字钟的设计报告完整版

电子技术课程设计(数电部分)——简易数字钟专业____________姓名____________班级____________学号____________二〇一三年四月基于EDA的简易数字钟设计第一章设计背景与要求 (1)一.设计背景 (1)二.设计要求 (1)第二章系统概述 (1)2.1设计思想与方案选择 (1)2.2各功能块的组成 (2)第三章单元电路设计与分析 (2)3.1各单元电路的选择 (2)3.2基本计时电路子模块的设计及工作原理分析 (3)(1)脉冲发生电路(分频模块) (3)(2)60进制计数器模块 (5)(3)24进制计数器模块 (6)(4)两片60进制计数器和一片24进制计数器联级构成24小时电路 (8)3.3外围子模块电路的设计及工作原理分析 (9)(1)4位显示译码模块 (9)(2)整点报时电路原理及模块设计 (12)(3)校正开关及脉冲按键消抖动处理模块 (14)(4)12小时制与24小时制的切换电路 (15)第四章电路的调试过程 (19)4.1遇到的主要问题 (19)4.2现象原因分析及解决措施及效果 (19)4.3功能的测试方法、步骤,记录的数据 (20)第五章结束语 (20)5.1对设计题目的结论性意见及进一步改进的意向说明 (20)5.2总结设计的收获与体会 (21)参考文献: (21)第一章设计背景与要求一.设计背景在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。

二.设计要求设计一个简易数字钟,具有整点报时和校时功能。

(1)以四位LED数码管显示时、分,时为二十四进制。

(2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。

多功能数字电子钟设计报告

多功能数字电子钟设计报告

多功能数字电子钟设计报告本文将介绍一个多功能数字电子钟的设计报告。

这个钟具有多种功能,可以显示时间,日期,室内温度和湿度,还可以设置闹钟。

这个钟被设计成简单易用,具有时尚外观和实用性。

硬件设计这个数字电子钟由以下主要部件组成:1. 微控制器:使用STM32F103微控制器进行控制和处理2. 显示屏:采用高清彩色TFT屏幕,尺寸为3.5英寸3. 传感器:使用DHT11温湿度传感器,可以实时监测室内的温度和湿度4. 时钟模块:使用DS1302 RTC(实时时钟)模块确保精准的时间显示5. 按键:包括上、下、左、右、确定和返回六个按键,方便用户设置和控制软件设计这个数字电子钟的软件设计采用了嵌入式设计的方法,代码分为三个主要部分:1. 时钟控制:这个数字电子钟确保了精准的时间显示,使用DS1302 RTC模块,可以确保时钟精度误差不超过±2秒/天。

时钟控制部分还包括时钟校准和闹钟设置。

2. 屏幕控制:这个数字电子钟使用3.5英寸TFT高清彩色屏幕,可以实现时钟、日期、温湿度和闹钟的显示。

屏幕控制部分可以显示多种信息,具有时尚的外观和设计。

3. 传感器控制:使用DHT11温湿度传感器监测室内环境。

传感器控制部分可以实现实时监测温度和湿度,并在屏幕上显示当前的室内温度和湿度。

功能设计这个数字电子钟具有以下主要功能:1. 时间显示:可以精准的显示当前的时间,包括小时、分钟和秒钟。

2. 日期显示:可以显示当前的日期,包括月份、日期和星期几。

3. 温湿度监测:可以实时监测室内的温度和湿度,并在屏幕上显示当前的数值。

4. 闹钟设置:可以设置多个闹钟,并在设定的时间开始响铃。

闹钟响铃时可以选择静音或自动关闭。

5. 操作简便:采用方便简单的按键操作设计,方便用户使用。

总结这个数字电子钟设计具有多种功能,采用了高清彩色TFT 屏幕,集精准时间、日期信息、温湿度信息便利的闹钟设置于一身,是一款可以满足日常生活需求的设计。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

设计专题:电子技术综合设计设计题目:多功能数字钟设计内容和要求:1. 主要内容:①用CC4518双四位BCD同步加计数器设计60秒、60分、24小时归0的计数电路②用CC4511 七段译码驱动/锁存器及LG5011AH共阴数码管设计译码及显示电路(数码管需加限流电阻)③用555设计CP脉冲源(f=1KH)④具有系统校准功能2. 整体电路原理图60秒、60分、24小时---- 计数、译码、显示电路(用8K白纸手工画图)3. EWB仿真图60秒、60分、24小时---- 计数、译码、显示电路(计算机打印)4. 设计原理图用PROTEL99设计原理图(计算机打印)5. 设计PCB版图用PROTEL99设计PCB板图(计算机打印)6. 功能扩展要求设计:①定点报时功能②12小时归1计数电路指导教师签字:年月日摘要:本次实验在老师的带领下我们用了两周时间学习了如何设计制造一个数字钟。

在课堂上我们从设计入手,到选材到焊接调试,完整体验了一个工程项目设计的完整周期,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟的原理其实并不复杂,其实他只是用CC4518双四位BCD同步加计数器设计60进制,24进制计数器以实现60秒、60分、24小时归0的计数电路,CC4518为双位计数器,所以每个计数器分为十位和个位两部分,当秒计数器计数到60时向分计数器进位同时秒计数器归零,分计数器向时计数器工作原理同秒计数器,当时计数器计数到24时归零。

利用CC4511 七段译码驱动/锁存器及LG5011AH共阴数码管设计译码及显示电路(数码管需加限流电阻)将时间的以显示。

因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路。

通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,熟练掌握应用仿真软件EWB、与pcb板设计软件Atlim designer等。

关键词:数字钟;时序电路;组合逻辑电路; EWB; Atlim designer;目录1.数字钟的基本组成及工作原理 (1)1.1 数字钟的构成 (1)1.2 数字钟的工作原理 (1)2.数字钟的设计与制作 (1)2.2.1 NE555脉冲电路产生 (2)2.2.2 计数器电路 (3)2.2.3 译码显示电路 (5)2.2.4 校时电路 (6)2.3 数字钟仿真 (7)2.3.1 数字钟电路原理图 (7)2.3.2系统整体仿真图 (8)2.3.3 PCB板图 (8)3.数字钟的扩展功能 (9)3.1定点报时 (9)3.2 12归1 (10)4 数字钟的焊接及注意事项 (10)4.1 焊接元件清单 (10)4.2 实际焊接的印刷电路板元件分布图 (12)4.3 焊接注意事项 (12)5 系统软、硬件调试 (13)5.1系统软件调试过程中遇到的问题以及排查经过 (13)6 总结及体会 (13)6.1 设计遇到的问题及解决方法 (14)6.2 EWB仿真中的问题 (14)6.3 设计总结 (14)6.4 对课程设计的建议 (14)7 参考文献 (14)8 附录 (15)8.1 附录1 (15)8.3 附录3 (16)8.4 附录4 (17)8.5 附录5 (17)1.数字钟的基本组成及工作原理1.1 数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

如图1-1所示,数字钟电路系统有主体电路和扩展电路两大部分组成。

其中,主体电路完成数字钟的基本功能,扩展部分主要完成数字钟的扩展功能。

该系统的工作原理是:振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,在经分频器输出标准秒脉冲。

秒计数满60后在向分计数器进位,分计数器记满60后向小时计数器进位,小时计数器按照24进制规律计数。

计数器的输出经译码器送显示器。

计时出现误差时可以用校时电路进行校时、校分、校秒。

扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。

图1-1 多功能数字钟系统组成框图1.2 数字钟的工作原理数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

用CC4518双四位BCD同步加计数器设计60进制,24进制计数器以实现60秒、60分、24小时归0的计数电路,CC4518为双位计数器,所以每个计数器分为十位和个位两部分,当秒计数器计数到60时向分计数器进位同时秒计数器归零,分计数器向时计数器工作原理同秒计数器,当时计数器计数到24时归零。

利用CC4511 七段译码驱动/锁存器及LG5011AH共阴数管设计译码及显示电路(数码管需加限流电阻)将时间的以显示。

2.数字钟的设计与制作2.1 设计步骤与方法2.2.1 NE555脉冲电路产生秒脉冲发生器是数字钟的核心。

由555构成多谐振动荡器,使其产生1Hz的振荡周期。

555与RC构成多谐振荡电路,电路参数如图2-2所示。

555定时器可以说是模拟电路与数字电路结合的典范。

两个比较器 C1和 C2各有一个输入端连接到三个电阻R组成的分压器上,比较器的输出接到RS触发器上。

此外还有输出级和放电管。

输出级的驱动电流可达200mA。

比较器C1和C2的参考电压分别为UA和UB,根据C1和C2的另一个输入端——触发输入和阈值输入,可判断出RS触发器的输出状态。

当复位端为低电平时,RS触发器被强制复位。

若无需复位操作,复位端应接高电平。

工作原理:接通电源后,Vcc通过R1、R2给C充电,VC逐渐上升。

当Vc升到2/3Vcc,比较器C1输出低电平VC1=0,555内RS触发器被复位,V1导通,输出V0=0。

之后电容C通过R2和V1放点,使VC下降。

当VC下降到1/3时,笔记哦啊器C2输出低点品VC2=0,555内RS触发器又被置位,输出V0=1变成高电平。

这时因为V1截止,电容C再次充电。

如此周而复始,输出就是个周期的矩阵方波了。

功能说明:参数计算f1=1Hz,R1=42.3KΩ,R2=11.5KΩ,C1=14.8uf,C2=0.01ufTw1=0.5s,Tw2=0.5s电路图2.2.2 计数器电路时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器,分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。

小时计数单元为24进制计数器,其输出为两位8421BCD 码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD 码。

一般采用10进制计数器CC4518来实现时间计数单元的计数功能。

为减少器件使用数量,可选CC4518。

图2-6 秒、分计数电路60进制13cc V23cc V V V图2-7 时计数电路24进制图2-8 秒、分、小时计数电路系统启动后,发现秒个位进行计数还未向秒时位进位时,其高位自动生成1,是软件所存在的漏洞;为了解决这一问题,需要在电路中加入消1功能。

图2-9 系统整体修改后的计数电路2.2.3 译码显示电路如图所示,计数器实现了对时间的累计以8421BCD码形式输出,选用LG5011AH共阴数码管显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流选用CD4511作为显示译码电路,选用LG5011AH 共阴数码管数码管作为显示单元电路。

图2-11译码、显示电路图2-12 译码器电路2.2.4 校时电路当数字钟初次接通电源或者计时出现误差时,需要校正时间,校时是数字钟应具备的基本功能。

一般电子手表都具有时、分、秒等校时功能。

为使电路简单,这里只进行分和小时的校时。

对校时电路的要求是,在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。

校时方式有“快校时”和“慢校时”两种,“快校时”是,通过开关控制,使计数器对1Hz 的校时计数。

“慢校时”是用手动产生单脉冲作校时脉冲。

如图为校时、校分电路。

其中1s 为校分用的控制开关,2s 为校时用的控制开关,他们的控制功能如表2-1所示。

校时脉冲采用分频器输出的1Hz 脉冲,当1s 或2s 分别为“0”时可以进行“快校时”。

如果校时脉冲由单次脉冲产生器提供,则可以进行“慢校时”。

图2-12 校时电路2.3 数字钟仿真2.3.1 数字钟电路原理图2.3.2系统整体仿真图2.3.3 PCB板图3.数字钟的扩展功能3.1定点报时上述闹钟报时功能设计只是固定一个时间,如可以随意调节时间,需要增加电路的硬件,最实现,当我们选择好要定的时间后,如需要闹钟响多长时间就按下开关多长时间。

其电路如图3-1所示。

图3-1 定点报时电路3.2 12归1图3-2 12归1原理图图3-3 12归1电路图4 数字钟的焊接及注意事项4.1 焊接元件清单1.主板元件清单2.扩展板元件清单序号 名 称 型 号 数 量 8D 锁存器74LS273 3 同或门 74LS2665 4输入2与门 74 LS21 4 芯片座 20P 314P 9三极管 90132序号 名 称 型 号 数量复位开1 自锁开 1 喇叭1 音乐片 1 灰排线20P电路板14.2 实际焊接的印刷电路板元件分布图4.3 焊接注意事项1.检查印刷线路板,是否有断线、短路等。

2.第一步焊IN4148二极管(黑圈为负极), IN4007(IN4002)二极管(白圈为负极),两个二极管要平行在一条线上。

3. 第二步焊限流电阻,电阻黄色为有效环需放在上方,同时摆放要整齐。

(为了保护发光数码管防止电流过大而损坏数码管需加,电源电压低可以取值小些,电源电压高可以取值大些)。

4. 第三步焊集成电路座,座的缺口为标志,方向应该在左边。

正负正负5. 电解电容长脚为正短脚为负,独石电容不分正负极。

6. 发光二极管有正负极之分,长脚为正短脚为负,不要接反。

7. 安插集成芯片时要看清候型号,注意芯片缺口方向应该在左边,缺口对应的左下方为1管脚。

8. 开关(K1)调整秒,开关(K2)调整分,开关(K3)调整小时,开关K4为暂停,通过调整使时钟的秒、分、时走时与标准时间同步。

9. 芯片功能简介:CC4518为双四位BCD同步加计数器;CC4511为七段译码驱动/锁存器;CC4060为二进制14位计数分频器;CC4040二进制12位计数分频器;LG5011AH为共阴数码管。

相关文档
最新文档