数电课程设计多功能数字钟
数字电子技术课程设计--多功能数字钟

目录第一章设计任务 (4)1.1 设计题目及要求 (4)1.1.1 题目 (4)1.1.2 设计要求 (4)第二章方案设计 (5)2.1 总体方案说明 (5)2.2 模块结构以及总体方框图 (5)第三章单元电路设计与原理说明 (6)3.1 按键消抖电路的设计 (6)3.1.1 RS触发器消抖 (6)3.2 分频器的设计 (7)3.2.2 1000分频器 (7)3.3基础电子钟及其显示设计 (8)3.3.1 时钟计数器 (8)3.3.2 功能选择及校准 (9)3.4整点报时器的设计 (10)3.5数码管显示切换电路的设计 (11)3.6 闹钟及其显示的设计 (12)第四章整机电路图及说明 .............................................................. 错误!未定义书签。
4.1整体电路图及说明................................................................................ 错误!未定义书签。
第五章电路仿真 (15)5.1基本时钟电路及其时间设置功能仿真 ................................................ 错误!未定义书签。
5.1.1基本计时功能的仿真............................................................. 错误!未定义书签。
5.1.2时间设置功能的仿真............................................................. 错误!未定义书签。
5.2整点报时功能的仿真............................................................................ 错误!未定义书签。
数电课程设计+多功能电子时钟

前言随着电子技术的不断发展,数字电子技术在设计中所体现在出来的优势越来越明显,它不仅是电子信息类专业的一个重要部分,而且在其它类专业工程中也是不可缺少的。
报警电路、时序控制电路作为子系统的应用,发展更是迅速,已成为新一代一些电子设备不可缺少的核心部件,其现实生活中的运用也是非常普遍和广泛。
集成数字电子计时器的设计过程中,组成其电路的核心部分是几个电路的设计以其几种芯片功能应用,其中主要包括:控制电路,,校时电路,译码电路,优先编码电路,显示电路等。
电子技术的发展促使这些电路被广泛的应用到一系列电子设备当中,时序控制电路也成为数字电子电路设计和制作过程中不可缺少的部分,并且设计简单,易于操作,可靠性好的优点。
对数字电子计时器设计的目的是为了更好的掌握几种芯片的工作原理,学会其电路的设计与主要性能参数测量方法以及掌握电子线路系统的装试和调试技术。
本次设计分为四个主要步骤:一:构思和设计分频电路,校时电路和译码电路。
二:根据设计要求和选择的电路通过计算选择元器件和参数,并准确无误的设计好要设计的电路原理图。
三:在万能板或在面包板上根据设计电路原理进行元器件的电路安装和精细的调试。
四:在安装好的电路板上进行闹钟功能的测试。
在此次课程设计的编写过程中参考了多种电子设计资料如《电子线路设计·实验·测试》(第二版),《数子电子技术基础》等。
在编写此次课程设计的过程中由于本人的水平有限,在设计的过程中难免出现缺点和不足之处,还请老师批评和指正。
第一章:系统电路设计1.1 系统总设计思路数字电子计时器原理框图如图(1.1)所示,电路一般包括以下几个部分:振荡器、分频器、校时电路、时分秒计数器、译码显示电路。
图1.1 数字电子计时器原理框图对于各部分(1)振荡器用来产生相应频率的脉冲信号。
(2)分频器用来对振荡器产生的信号进行分频,从而得到电子计数器需要的1Hz秒脉冲。
(3)为使数字钟走时与标准时间一致,校时电路是必不可少的。
多功能数码钟课程设计

多功能数码钟课程设计一、课程目标知识目标:1. 学生能理解多功能数码钟的基本原理,掌握其构造和功能。
2. 学生能运用所学知识,分析并解释数码钟显示时间、闹钟设定、计时器等基本功能的工作原理。
3. 学生能了解并描述电子元件如集成电路、晶体振荡器、LED显示屏等在数码钟中的作用。
技能目标:1. 学生能够独立完成多功能数码钟的组装和调试。
2. 学生能够通过实际操作,掌握使用多功能数码钟进行时间管理、闹钟设定和计时等技能。
3. 学生能够运用所学知识,解决多功能数码钟使用过程中遇到的问题。
情感态度价值观目标:1. 培养学生对电子科技的兴趣,激发他们探索科学技术的热情。
2. 培养学生的团队合作精神,使他们学会在组装和调试过程中相互帮助、共同解决问题。
3. 培养学生珍惜时间、合理安排时间的意识,引导他们将所学知识应用于日常生活中,提高生活品质。
本课程旨在让学生通过动手实践,掌握多功能数码钟的基本原理和操作技能,同时培养他们的科技兴趣和团队协作能力。
针对学生年级特点,课程内容注重知识性与实践性的结合,以学生为主体,充分调动他们的学习积极性。
通过本课程的学习,使学生能够将理论知识与实际应用相结合,提高解决问题的能力。
二、教学内容本章节教学内容主要包括以下几部分:1. 数码钟原理:介绍数码钟的基本工作原理,如时钟电路、计数器、显示电路等,关联教材中有关电子计数器、数字显示章节。
2. 电子元件认知:学习集成电路、晶体振荡器、LED显示屏等电子元件的作用和特性,结合教材中电子元件相关章节。
3. 多功能数码钟组装与调试:详细讲解多功能数码钟的组装过程,包括电路连接、元件焊接、调试等,涉及教材实践操作章节。
4. 多功能数码钟操作与应用:学习如何使用多功能数码钟进行时间管理、闹钟设定、计时等功能,结合教材中关于电子产品操作与应用的内容。
教学内容安排与进度:第一课时:数码钟原理学习,介绍电子计数器、数字显示等基本概念。
第二课时:电子元件认知,学习集成电路、晶体振荡器、LED显示屏等元件的作用。
多功能数字钟数电课程设计实验报告

(数电课程设计)实验报告(理工类)2021 至2021 学年度第二学期课程名称多功能数字钟电路设计系别班级电气系11级电子信息工程一班指导教师周旭胜学号姓名耿王鑫1一、谷和伟12贺焕13、黄兴荣14解军1五、井波16李丰17、李小飞18梁富慧19目录一、设计要求及任务 ...................................................................................... 错误!未定义书签。
二、系统设计方案 ........................................................................................ 错误!未定义书签。
三、器件选择 ................................................................................................ 错误!未定义书签。
1、74LS160............................................................................................... 错误!未定义书签。
2、74LS107............................................................................................... 错误!未定义书签。
3、74LS90................................................................................................. 错误!未定义书签。
显示屏....................................................................................................... 错误!未定义书签。
数电课程设计数字钟

数电课程设计数字钟一、课程目标知识目标:1. 理解数字钟的基本原理和组成,掌握数字电路基础知识;2. 学会运用组合逻辑电路设计数字钟的时、分、秒显示部分;3. 掌握数字钟的计时功能,了解其工作过程和调试方法;4. 了解数字钟在实际应用中的优势,如精确度、稳定性等。
技能目标:1. 能够运用所学知识,设计并搭建一个简单的数字钟电路;2. 培养动手实践能力,学会使用相关仪器、工具进行电路搭建和调试;3. 提高问题解决能力,能够分析并解决数字钟运行过程中出现的问题;4. 学会团队协作,与他人共同完成课程设计任务。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生的耐心、细心和责任心,养成良好的学习习惯;3. 引导学生关注科技发展,认识数字技术在实际生活中的应用;4. 培养学生的环保意识,注意电子垃圾的处理和回收。
课程性质:本课程为实践性较强的课程,注重培养学生的动手能力和实际操作技能。
学生特点:学生已具备一定的数字电路基础知识,具有较强的求知欲和动手欲望。
教学要求:结合课程性质和学生特点,采用理论教学与实践操作相结合的方式,注重启发式教学,引导学生主动参与课程设计过程,提高学生的实践能力和创新能力。
通过课程目标的分解,确保学生能够达到预定的学习成果,为后续的教学设计和评估提供依据。
二、教学内容1. 数字钟原理及组成- 了解数字钟的基本工作原理- 掌握数字钟的各个组成部分,如振荡器、分频器、计数器、显示电路等2. 组合逻辑电路设计- 学习组合逻辑电路的设计方法- 应用组合逻辑电路设计数字钟的时、分、秒显示部分3. 数字电路基础知识- 复习数字电路基础知识,如逻辑门、触发器、计数器等- 了解不同类型数字电路的特点和应用4. 数字钟电路搭建与调试- 学习数字钟电路的搭建方法- 掌握数字钟电路的调试技巧,分析并解决常见问题5. 教学内容安排与进度- 第一周:数字钟原理及组成,数字电路基础知识复习- 第二周:组合逻辑电路设计,数字钟显示部分设计- 第三周:数字钟电路搭建,初步调试- 第四周:数字钟电路调试,优化与改进6. 教材章节及内容列举- 教材第三章:数字电路基础- 教材第四章:组合逻辑电路- 教材第五章:时序逻辑电路- 教材第六章:数字钟设计与实践教学内容科学、系统,注重理论与实践相结合,以学生动手实践为主,充分调动学生的积极性,培养实际操作能力。
数电课程设计多功能数字钟的设计与实现

课程设计任务书题目: 多功能数字钟的设计与实现初始条件:本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。
用数码管显示时间计数值。
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。
2、技术要求:1)设计一个数字钟。
要求用六位数码管显示时间,格式为00:00:00。
2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。
3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。
4)设计提供连续触发脉冲的脉冲信号发生器,5)具有校时单元、闹钟单元和整点报时单元。
6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
3、查阅至少5篇参考文献。
按《******大学课程设计工作规》要求撰写设计报告书。
全文用A4纸打印,图纸应符合绘图规。
时间安排:1、 2013年 3 月 18 日,布置课设具体实施计划与课程设计报告格式的要求说明。
2、 2013 年 3 月 22日至 2013 年 5 月 10 日,方案选择和电路设计。
3、 2013 年 5 月 25 日至 2013 年 7 月 2 日,电路调试和设计说明书撰写。
4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录1 引言 (1)1.1 数字钟简介 (1)1.2 EWB简介 (1)2 方案选择 (3)3 系统框图 (4)4 分电路设计 (5)4.1 脉冲产生电路 (5)4.1.1设计要求 (5)4.1.2所需元件 (5)4.1.3元件介绍 (5)4.1.4参数计算 (6)4.1.5电路设计 (7)4.2计数电路 (8)4.2.1秒电路 (8)4.2.2分电路 (9)4.2.3时电路 (11)4.3显示电路 (12)4.3.1所需元件 (12)4.3.2元件介绍 (12)4.3.3原理说明 (12)4.3.4电路设计 (12)4.4整点报时电路 (13)4.4.1设计要求 (13)4.4.2所需元件 (13)4.4.3元件介绍 (13)4.4.4参数计算 (13)4.4.5原理说明 (14)4.4.6电路设计 (14)4.5校时电路 (15)4.5.1设计要求 (15)4.5.2所需元件 (15)4.5.3元件介绍 (15)4.5.4原理说明 (15)4.5.5电路设计 (15)4.6闹钟电路 (16)4.6.1设计要求 (16)4.6.2所需元件 (16)4.6.3元件介绍 (16)4.6.4原理说明 (18)4.6.5电路设计 (18)5总电路图 (19)6心得体会 (20)7元件清单 (21)8参考文献 (23)摘要数字钟是一种用数字电路技术实现时、分、秒计时的钟表。
数电课程设计数字钟

洛阳理工学院课程设计课程名称数字电子技术课题名称多功能数字钟专业电器工程及其自动化班级学号姓名指导教师年月日洛阳理工学院学院课程设计任务书课程名称数字电子技术题目多功能数字钟专业班级学生姓名指导老师审批任务书下达日期年月日设计完成日期年月日目录一、设计总体思路、基本原理 (7)二、设计框图 (8)三、单元电路设计 (9)1、分秒计数器电路 (9)2、24小时计数器电路 (10)3、整点报时电路 (11)4、校时电路设计 (13)5、秒脉冲产生器 (13)四、EWB软件和重要芯片的介绍 (15)五、仿真结果 (17)六、电路的安装与调试 (21)七、总结与体会 (23)八、附录 (25)九、参考文献 (25)十、整机原理图 (26)十一、评分表 (27)多功能数字钟课程设计一、设计总体思路和基本原理数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置、具有更长的使用寿命,等优点,因而得到了广泛的应用、小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。
数字电子钟由以下几部分组成:秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时的译码显示部分。
从课程设计要求来看,数字钟主要分为数码显示器、60进制和24进制计数器、频率振荡器、校时电路和整点报时电路这几个部分。
数字钟要完成显示需要6个数码管,八段的数码管需要译码器才能显示,然后要实现时、分、秒的计时需要60进制计数器和24进制计数器,在仿真软件中发生信号可以用函数发生器仿真,频率可以随意调整。
频率振荡器可以由晶体振荡器分频来提供,也可以由555定时来产生脉冲并分频为1HZ。
方案可以采用74LS160同步十进制加法计数器或采用74LS161十六进制计数器或74LS192十进制异步清零计数器,也可进行组合来组成10进制和6进制的计数器。
电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。
本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。
二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。
同时,使用按键作为输入进行功能的选择和设置。
2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。
将按键连接到FPGA的IO口,通过IO口输入按键的信号。
此外,还需要连接一个晶振电路来提供时钟信号。
3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。
具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。
通过按键的输入来切换不同的状态,实现不同功能的切换和设置。
4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。
(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。
(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。
(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。
以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计课程名称___数字电子技术课程设计 __ 题目名称___多功能数字钟的电路设计_ 学生学院___物理与光电工程学院___专业班级___08光信息与科学技术2班_ 学号___3108009486_________学生姓名___伍国章_____________指导教师___黄老师___________2010 年06 月9 日一、设计题目多功能数字钟的电路设计数字钟是采用数字电路实现“时”、“分”、“秒”数字显示的计时装置。
钟表的数字化在提高报时精度的同时,也大大扩展了它的功能,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯等。
因此,研究数字钟及扩大其应用,有着非常现实的意义。
1)掌握数字钟的设计、组装与调试方法。
2)熟悉集成电路的使用方法。
二、设计任务与要求1)时钟显示功能,能够以十进制显示“时”、“分”、“秒”。
2)具有校准时、分的功能。
3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。
选做:1)闹钟功能,可按设定的时间闹时。
2)日历显示功能。
将时间的显示增加“年”、“月”、“日”。
三、原理电路一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。
石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。
1、振荡器单元电路设计方案一:晶体振荡器电路晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。
一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,如图1.2所示,从图上可以看出其结构非常简单。
该电路广泛使用于各种需要频率稳定及准确的数字电路,如数字钟、电子计算机、数字通信电路等。
图1.2 CMOS晶体振荡器(仿真电路)图1.2所示电路中,CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。
输出R为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一反馈电阻f个高增益的反相放大器。
电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。
由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。
方案二:555定时器与RC组成的多谐振荡器如果精度要求不高可以采用由集成电路定时器555与RC组成的多谐振荡器。
设振荡频率f0=103Hz,电路参数如图555多谐振荡器原理电路及工作波形本设计采用方案一,单元电路连接、各参数及产生的波形如下图所示:2、分频器单元电路设计通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz 的秒信号输入,需要对振荡器的输出信号进行分频。
通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。
例如,将32768Hz 的振荡信号分频为1HZ 的分频倍数为32768(152),即实现该分频功能的计数器相当于15级2进制计数器。
常用的2进制计数器有74HC393等。
方案一:可选用14级二进制串行计数/分频器CD4060得到精确频率。
CD4060计数为14级2进制计数器,可以将32768Hz 的信号分频为2Hz 。
欲得到1图2 秒脉冲产生电路方案二:利用计数器级联选用三片74LS90进行级联,因为每片为1/10分频器,三片级联好 获得1Hz 标准秒脉冲。
其电路图如下:由于CD4060在MULTISIM 中仿真不了,所以本设计采用三片74HC161和一片74HC160IC级联,构成2^15分频器。
单元电路连接如下图所示:3、计数器单元电路设计时间计数单元有时计数、分计数和秒计数等几个部分。
时计数单元一般为12进制计数器或24进制计数器,其输出为两位8421BCD 码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码。
可用于计数的芯片很多,比如可预置的 4 位二进制同步计数器(74LS161),可二/五分频十进制计数器(74LS90),可预置BCD双时钟可逆计数器(74LS192),双十进制计数器(74LS390)等。
本设计采用74ls160作为计数器分别构成60、24进制计数器。
60进制计数器由74ls160构成的60进制计数器,将一片74ls160设计成10进制加法计数器,另一片设置6进制加法计数器。
两片74ls160按反馈清零法串接而成。
秒计数器的十位和个位,输出脉冲除用作自身清零外,同时还作为分计数器的输入脉冲CP1。
下图电路即可作为秒计数器,也可作为分计数器。
24进制计数器由74ls160构成的二十四进制计数器,将一片74ls160设计成四进制加法计数器,另一片设置二进制加法计数器。
即个位计数状态为Qd Qc Qb Qa = 0100十位计数状态为Qd Qc Qb Qa = 0010时,要求计数器归零。
通过把个位Qc、十位Qb相与后的信号送到个位、十位计数器的清零端,使计数器清零,从而构成24进制计数器。
电路图如下:4、译码驱动及显示单元电路设计计数器实现了对时间的累计以8421BCD码形式输出,为了将计数器输出的8421BCD码显示出来,需用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,一般这种译码器通常称为7段译码显示驱动器。
常用的7段译码显示驱动器有CD4511。
方案一、由CD4511和七段译码管构成,电路如图所示:方案二、直接由带译码功能的LED数码管构成。
本设计采用方案一,并增加限流电阻R=100欧,防止电流过大损坏LED数码管。
单元电路连接如下图所示:5、校时单元电路设计当重新接通电源或走时出现误差时都需要对时间进行校正。
通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。
方案一、慢校时,用手动产生单脉冲作校时脉冲。
K1、K2分别是时校正、分校正开关。
不校正时,K1、K2开关是闭和的。
当校正时位时,需要把K1开关打开,然后用手拨动K3开关,来回拨动一次,就能使时位增加1,根据需要去拨动开关的次数,校正完毕后把K1开关闭上。
校正分位时和校正时位的方法一样。
其电路图如下:方案二、快校时,通过开关控制,使计数器对2Hz的校时脉冲计数。
接电容C1、C2可以缓解抖动。
本设计采用方案二、单元电路连接如下图所示:6、整点报时单元电路设计一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒。
其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示。
按题目要求,本设计利用一个八输入与非门,当分秒为59分59秒时,控制蜂鸣器响,蜂鸣时间为1s。
电路如图所示:7、闹时扩展单元电路的设计闹钟功能,可按设定的时间闹时。
总体设计方案如下图:增加计四个计数器,作为闹时电路的记忆单元,通过开关控制CD4511的BI端输入电平的高低,当BI端为1时,译码器正常工作,当BI端为0时,译码器的七个输出端均输出低电平,此时LED数码管不会显示该译码器的数字,时钟计数单元和闹钟定时单元译码器的输出端分别连接CD4071或门的输入端,CD4071或门的输出端连接LED数码管的七个输入端,这样,就可通过一个开关控制LED数码管分别显示时钟计数单元和闹钟定时单元,而不会相互影响计数,并且可减小LED数码管的数量。
可手动从计数器Q输入端输入需要定时的时间,按动开关可以让LED数码管返回显示时钟的实际时间。
利用四片同或门IC比较时钟计数单元和闹钟记忆单元输出端的BCD码,当相同时就控制蜂鸣器蜂鸣,时长为一分钟。
电路连接如下图:增加一个开关单元,控制蜂鸣器,闹铃期间可手动关闭蜂鸣器,并可作为蜂鸣器的总开关使用。
此单元电路图如图所示:8、日历扩展单元电路的设计显示年份分由四片74LS190级联,构成十进制计数器。
为了方便显示,把前三片置数成201,由此,可以显示2010到2019的年份,九年的时间已经足够使用。
此电路如下:月份部分由两片74LS190级联构成十二进制计数器,并在第十三个脉冲到来时置01。
增加一个调校电路,用于调校月份。
日期部分由两片74LS190级联构成28、29、30、31进制计数器,并分别在第28、29、30、31个脉冲到来时置01。
利用一个3-8译码器、一个4-10译码器及八片门电路构成月份反馈单元,显示每个月份所对应的日期。
主要功能原理:把月份个位计数器的输出端接到4-10译码器的输入端,这样就可以从4-10译码器的输出端选择到1到9月份,把月份个位计数器的输出端的QA和QB、月份十位计数器的输出端的QA分别接到3-8译码器的输入端ABC,这样3-8译码器输出端的Y4、Y5、Y就对应10、11和12月份。
通过两个八输入与非门把十二个月份分成三组,1、3、5、7、8、10、12作为一组,期为31日;2、4、6、9、11作为一组,日期为30日;2月单独作为一组,日期为28或29日。
并且任意时刻只有一组输出为1,其他两组为0。
通过另三个与非门构成置数选择单元,哪个组月份输出为1就在该组月份对应的日期到达时把日期置为01,同时产生日期进位脉冲。
为了化简设计,用一个开关手动选择闰年与平年对应二月的日期总数。
如下图所示:9、整体电路1)基本功能整体电路图:2)整体电路图(包括两个扩展电路图):3)元件清单四、电路和程序调试过程与结果:由于时间不是很充足,没有做实物,只用multisim做仿真,不能测量计算误差值。
1、对60进制计数器的时序逻辑仿真图:五、总结本课程设计的不足是用到比较多的IC,由于对各种IC参数不了解,所以也就不能很好的选择正确的IC,但由于只是仿真,对结果的影响也不是很大。
本课程设计的有点就是电路的功能比较多,能考虑到实际的情况,如可通过几个开关切换和控制电路,使用比较灵活。
需要该进的地方就是本课程设计的不足之处,就是要在IC的选择上多下功夫。
经过这次数字电子电路的课程设计,我的数电知识得到巩固,并且有了一定程度的提高,对数字钟的工作原理有了比较深刻的理解,对数字电子设计的过程及其涉及的工具有更深入的认识。
另一方面,我的动手能力有了一定的提高,特别是自己动手解决问题的能力。
例如自己通过网上查阅各种IC功能的能力,阅读英文资料的能力也提高了。
总之,这次课程设计对我能力的提升有很大的帮助。
参考资料:1、《数字电子技术基础》潘明潘松科学出版社2、《数字与逻辑电路》谢芳森电子工业出版社。