数电课程设计数字钟

合集下载

数字电路课程设计电子数字钟+闹铃

数字电路课程设计电子数字钟+闹铃

数字电路课程设计电子数字钟+闹铃数字电路课程设计院系:专业:电子信息工程姓名:学号:完成日期:2021 数字钟的设计一、系统功能概述、系统实现的功能:1、具有“时”、“分”、“秒”的十进制数字显示。

2、具有手动校时、校分、校秒的功能。

3、有定时和闹钟功能,能够在设定的时间发出闹铃声。

4、能进行整点报时。

从59分50秒起,每隔2秒发一次低音“嘟”的信号,连续5次,最后一次为高音“嘀”的信号。

、各项设计指标:1、显示部分采用的6个LED显示器,从高位至低位分别显示时、分、秒。

2、有一个设置调闹钟定时时间、正常时间的按钮,选择调的对象。

3、有三个按钮分别调时、分、秒的时间。

4、有一个按钮用作开启/关闭闹铃。

5、另外需要两个时钟信号来给系统提供脉冲信号,使时钟和闹钟正常工作,分别为1Hz、1kHz的脉冲。

二、系统组成以及系统各部分的设计 1、系统结构描述 //要求:系统结构描述,各个模块的功能描述;系统的顶层文件:1、顶层文件图:2、各模块的解释:、7个输入量clk_1khz、clk_1hz、key_slt、key_alarm、sec_set、min_set、hour_set:其中clk_1khz为闹铃模块提供时钟,处理后能产生“嘟”、“嘀”和变化的闹铃声音;clk_1hz为计时模块提供时钟信号,每秒计数一次;key_slt选择设置对象:定时或正常时间;key_alarm能够开启和关闭闹铃;sec_set、min_set、hour_set用于设置时间或定时,与key_slt 相关联。

各按键输出为脉冲信号。

、CNT60_A_SEC模块:这个模块式将clk_1hz这个时钟信号进行60进制计数,并产生一个分钟的触发信号。

该模块能将当前计数值实时按BCD码的格式输出。

将该输出接到两位LED数码后能时时显示秒的状态。

通过alarm_clk可以选择设置对象为时间还是定时值。

在设置时间模式上,key上的一个输入脉冲可以将clk的输入信号加一。

数电课设-数字钟

数电课设-数字钟

数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。

2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。

3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。

4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。

二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。

2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。

3、用LCD液晶屏来显示当前时间及功能模式。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。

数电课程设计数字钟

数电课程设计数字钟

数电课程设计数字钟一、课程目标知识目标:1. 理解数字钟的基本原理和组成,掌握数字电路基础知识;2. 学会运用组合逻辑电路设计数字钟的时、分、秒显示部分;3. 掌握数字钟的计时功能,了解其工作过程和调试方法;4. 了解数字钟在实际应用中的优势,如精确度、稳定性等。

技能目标:1. 能够运用所学知识,设计并搭建一个简单的数字钟电路;2. 培养动手实践能力,学会使用相关仪器、工具进行电路搭建和调试;3. 提高问题解决能力,能够分析并解决数字钟运行过程中出现的问题;4. 学会团队协作,与他人共同完成课程设计任务。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生的耐心、细心和责任心,养成良好的学习习惯;3. 引导学生关注科技发展,认识数字技术在实际生活中的应用;4. 培养学生的环保意识,注意电子垃圾的处理和回收。

课程性质:本课程为实践性较强的课程,注重培养学生的动手能力和实际操作技能。

学生特点:学生已具备一定的数字电路基础知识,具有较强的求知欲和动手欲望。

教学要求:结合课程性质和学生特点,采用理论教学与实践操作相结合的方式,注重启发式教学,引导学生主动参与课程设计过程,提高学生的实践能力和创新能力。

通过课程目标的分解,确保学生能够达到预定的学习成果,为后续的教学设计和评估提供依据。

二、教学内容1. 数字钟原理及组成- 了解数字钟的基本工作原理- 掌握数字钟的各个组成部分,如振荡器、分频器、计数器、显示电路等2. 组合逻辑电路设计- 学习组合逻辑电路的设计方法- 应用组合逻辑电路设计数字钟的时、分、秒显示部分3. 数字电路基础知识- 复习数字电路基础知识,如逻辑门、触发器、计数器等- 了解不同类型数字电路的特点和应用4. 数字钟电路搭建与调试- 学习数字钟电路的搭建方法- 掌握数字钟电路的调试技巧,分析并解决常见问题5. 教学内容安排与进度- 第一周:数字钟原理及组成,数字电路基础知识复习- 第二周:组合逻辑电路设计,数字钟显示部分设计- 第三周:数字钟电路搭建,初步调试- 第四周:数字钟电路调试,优化与改进6. 教材章节及内容列举- 教材第三章:数字电路基础- 教材第四章:组合逻辑电路- 教材第五章:时序逻辑电路- 教材第六章:数字钟设计与实践教学内容科学、系统,注重理论与实践相结合,以学生动手实践为主,充分调动学生的积极性,培养实际操作能力。

数电课程设计报告(数字时钟)

数电课程设计报告(数字时钟)

课题三、数字电子钟设计一:设计要求:(1)、准确计时,以数字形式显示时、分、秒的时间。

(2)、小时计时采用24进制的计时方式,分、秒采用60进制的计时方式。

(3)、具有快速校准时、分、秒的功能。

二:总体参考方案该系统的工作原理是:振荡器产生的稳定高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲。

秒计数器计满60后向分计数器进位,分计数计满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。

计数器输出经译码器送显示器。

计时出现误差时可以用校时电路进行较时、校分、校秒。

三:单元电路设计1. 秒脉冲发生器用555定时器构成秒脉冲发生器如图3.1所示图3.1 555定时器构成的秒脉冲发生器1.1555定时器555的工作原理它含有两个电压比较器,一个基本RS触发器,一个放电开关T,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器C1同相比较端和低电平比较器C2的反相输入端的参考电平为2VCC/3和VCC/3。

C1和C2的输出端控制RS触发器状态和放电管开关状态。

当输入信号为低电平时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于VCC/3时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。

Vco是控制电压端(5脚),当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01微法的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。

T为放电管,当T导通时,将给接于脚7的电容器提供低阻放电电路.图3.1.1 555定时器的电路结构及其引脚图3.1.2 555电路的引脚功能2. 秒、分、时计数器秒、分计数器分和秒计数器都是模数M=60的计数器,其计数规律为00---01---…58---59---00…选74LS161作十位及个位计数器,再将它们级联组成模数M=60的计数器.图2.1 秒、分计时器图2.2 74LS161引脚图管脚图介绍:时钟CP和四个数据输入端P0~P3清零/MR使能CEP,CET置数PE数据输出端Q0~Q3以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET*SR PE CET CEP工作模式L X X X RESET (Clear)清零H L X X LOAD (Pn Qn)置数H H H H COUNT (Increment)计数H H L X NO CHANGE (Hold)保持(不变)H H X L NO CHANGE (Hold)保持(不变)图2.3 74LS161选择开关方式真值表时计数器时计数器是一个“24翻1”的特殊进制计数器,即当数字钟运行到24时59分59秒,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中的计时规律。

电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)第一篇:电子数字时钟课程设计报告(数电)数字电子钟的设计1.设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。

而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。

且由于数字钟包括组合逻辑电路和时叙电路。

通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1.1设计指标1.时间以12小时为一个周期;2.显示时、分、秒;3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 1.2 设计要求1、电路设计原理说明2、硬件电路设计(要求画出电路原理图及说明)3、实物制作:完成的系统能达到题目的要求。

4、完成3000字的课程设计报告2.功能原理2.1 数字钟的基本原理数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。

工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。

将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计数器,可以实现24小时的累计。

LED数码管将“时、分、秒”计数器的输出状态显示。

校时电路是来对“时、分、秒”显示数字进行校对调整。

2.2 原理框图3.功能模块3.1 振荡电路多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,就能输出一定频率的矩形波形(自激振荡)。

数电课程设计 集成数字式闹钟

数电课程设计  集成数字式闹钟

《电子技术》课程设计报告《数字钟的设计》专业:班级:姓名:学号:指导教师:完成日期:年月日第页共页设计任务书一、设计题目:“数字钟的设计”二、技术要求:1.设计一台能直接显示“时”、“分”、“秒”的数字钟,要求24小时为一计时周期。

2.当电路发生走时误差时,要求电路具有校时功能。

三、。

基本工作原理:数字钟是一个将“时”、“分”、“秒”显示的计时装置。

它的计时周期为24小时,显示满时刻为23时59分59秒,另外应有校时功能。

整个电路采用异步清零的方法来进行计数及清零,使得秒显示及分钟显示以60为一周期,时显示以24为一周期。

校时电路由RS触发器构成,选择1HZ脉冲进行校时。

四、元件清单;1、74160 6片2、74LS003、74LS084、74LS045、74LS326、555定时器7、电阻10kΩ8、电容0.01μF 47μF9、电源,双掷开关及导线若干五、各部分组成及结构:1、振荡电路1HZ脉冲输出2、分钟计时器分进位信号秒进位/校时信号采用异步清零,分钟的十位变成6时,被立即清零。

3、时计时器分进位/校时信号采用异步清零,当时计数器计数到24时被清零,而24这个状态不显示。

4、校时电路分进位/校时信号秒进位/校时信号1HZ脉冲秒进位信号分进位信号1HZ脉冲时校时电路分钟校时电路如图所示状态时,校时电路不工作。

当分钟校准时,开关2被掷到另一端;时校准时,开关3被掷到另一端。

当数字钟仅仅只有分钟走误时,分钟的校准不会向时显示进位。

在这个过程中,利用一个或门将分钟可能产生的进位信号屏蔽掉,以达到仅仅分钟校准的目的。

六、实验内容:画出整机电路图连接电路并进行调试。

七、体会:实际设计、调试和理论有很大的差异,简简单单的理论应用到实践的过程中会遇到各种各样的困难。

例如,刚设计的时候没有进位信号,十位的变化比各位慢一个或半个脉冲等等。

通过看书上的知识,慢慢完善电路,以达到准确计时的目的。

另外,电路连线多,连线时应细心、耐心。

数字电路课程设计--数字时钟

数字电路课程设计--数字时钟

《数字时钟》技术报告概要数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒。

一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。

由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。

本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。

通过采用各种集成数字芯片搭建电路来实现相应的功能。

具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。

该电路具有计时和校时的功能。

在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。

实验证明该设计电路基本上能够符合设计要求!一、系统结构。

(1)功能。

此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。

(2)系统框图。

系统方框图1(3)系统组成。

1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。

2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。

3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到秒、分、时的进分别进位。

4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。

5.显示模块:由7段数码管来起到显示作用,通过接受CD4511的信号。

本次选用的是共阴型的CD4511。

二、各部分电路原理。

1.秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。

最终如图3接口就输出矩形波,而形成的秒脉冲。

数字电路课程设计数字时钟报告

数字电路课程设计数字时钟报告

数字电路课程设计数字时钟报告数字电路课程设计数字时钟介绍•数字电路课程设计是一门重要的电子工程课程,旨在培养学生在数字电路设计领域的能力和技巧。

•数字时钟是数字电路设计项目中一个典型的案例,可以通过该项目加深对数字电路原理和实践的理解。

设计目标•开发一个功能完备、性能稳定的数字时钟电路。

•通过数字时钟项目,培养学生的数字电路设计能力、团队合作能力和解决问题的能力。

设计步骤1.分析需求:确定数字时钟的功能和性能要求,例如显示精度、时钟模式、闹钟功能等。

2.确定器件:根据设计需求,选择适合的数字电路和组件,如时钟发生器、计数器、显示器等。

3.设计电路原理图:根据需求和选择的器件,绘制数字时钟的电路原理图。

4.进行逻辑设计:使用数字逻辑门和触发器等器件,实现数字时钟的各个功能模块。

5.进行测试:将电路搭建并连接,对数字时钟进行功能和性能测试。

6.优化和修改:根据测试结果,优化和修改电路设计,确保数字时钟的稳定性和可靠性。

7.编写报告:总结设计过程,记录问题和解决方案,描述数字时钟的设计和实现。

设计要点•确保数字时钟的显示精度和稳定性,避免数字闪烁或误差较大。

•采用合适的计数器和时钟发生器,确保数字时钟能准确计时和显示时间。

•考虑数字时钟的功耗和可靠性,选择适合的电源和元器件。

•在设计中考虑数字时钟的扩展性和功能性,如增加闹钟、温湿度显示等功能。

结论•数字时钟设计是数字电路课程中有趣而实用的项目,能够培养学生的实践能力和创造力。

•通过数字时钟项目,学生可以通过实践掌握数字电路设计的方法和技巧,提高解决问题的能力和团队协作能力。

•数字时钟设计也是一个不断优化和改进的过程,通过反复测试和修改,可以得到一个性能稳定、功能完备的数字时钟电路。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

洛阳理工学院课程设计课程名称数字电子技术课题名称________ 多功能数字钟_________专业_______________ 电器工程及其自动化班级_________________________________ 学号_________________________________ 姓名_________________________________ 指导教师_______________________________洛阳理工学院学院课程设计任务书课程名称数字电子技术题目多功能数字钟专业班级____________________学生姓名____________________指导老师____________________审批_______________________ 设计完成日期年月曰任务书下达日期年月曰设计完成日期年月曰主要设计条件进度安排目录一、设计总体思路、基本原理7二、设计框图8三、单元电路设计91、分秒计数器电路92、24小时计数器电路103、整点报时电路114、校时电路设计135、秒脉冲产生器13四、EWB软件和重要芯片的介绍15五、仿真结果17六、电路的安装与调试21七、总结与体会23八、附录25九、参考文献251^一、评分表27十、整机原理图26 1^一、评分表27多功能数字钟课程设计一、设计总体思路和基本原理数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置、具有更长的使用寿命,等优点,因而得到了广泛的应用、小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。

数字电子钟由以下几部分组成:秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时的译码显示部分。

从课程设计要求来看,数字钟主要分为数码显示器、60进制和24进制计数器、频率振荡器、校时电路和整点报时电路这几个部分。

数字钟要完成显示需要6个数码管,八段的数码管需要译码器才能显示,然后要实现时、分、秒的计时需要60进制计数器和24进制计数器,在仿真软件中发生信号可以用函数发生器仿真,频率可以随意调整。

频率振荡器可以由晶体振荡器分频来提供,也可以由555定时来产生脉冲并分频为1HZ。

方案可以采用74LS160同步十进制加法计数器或采用74LS161十六进制计数器或74LS192十进制异步清零计数器,也可进行组合来组成10进制和6进制的计数器。

而小时的24进制也可以采用上述方案。

由于我对74LS160的功能比较熟悉,故我分别用六块74LS160芯片来实现,两个60进制和一个24进制 的秒、分、时计数。

设计框图整 占 八、、报 时(1) 振荡器电路:一般说来,振荡器的频率越高,计时精度越高本设计中采用由集成定时器555与RC 组成的多谐振荡器,经过调整 输出1000Hz时显示器1时译;码器分显示器分译码器 秒译码器图2.1脉冲。

(2)时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,时个位和时十位计数器为24进制计数器。

(3)译码显示电路:译码显示电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流,我们采用自带译码功能的数码管。

(4)整点报时电路:一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时。

其作用方式是在整点前的十秒内,出现奇数秒时报时灯发光,从而实现在最后十秒内闪烁五次,以示提醒。

(5)校时电路:由于数字钟的初始时间不一定是标准时间,而且在数字钟的运行过程中可能出现误差,所以需要校时电路来对“时分”显示数字进行校对调整。

三、单元电路设计1、分秒计数器电路分和秒的进制一样,都采用60进制计数。

本设计选用74LS160作为计数器,将一片74LS160设置成10进制加法计数器,另一片设置成6进制加法计数器。

两片74LS160按反馈清零法串接而成,当十位和个位总共计满60个数后计数器清零。

因为秒计数器的十位的输出端QB、QC表示6,秒计数器的十位的输出端QB、QC通过与非门输出低电平脉冲用作自身清零,秒计数器的十位的输出端QB、QC通过与非门然后接一个非门输出高电平脉冲作分计数器的输入脉冲。

秒计数器接受的信号为振荡器经分频后输出的1HZ的标准脉冲,秒计数器接受来自分频器的60个1HZ脉冲后,QB、QC都为逻辑T通i与门输出一个进位脉冲给分计数器,通过与非门和非门输出一个低电平0给清零端,秒计数器清零。

当分计数器接受60个来自秒计数器的进位信号后向时计数器的个位给出一个进位信号。

秒、分计数器的计数规律是从00——59 —— 00。

秒、分计时器电路:图3.1分秒计数器仿真电路图2、24小时计数器电路时钟计数器设计为24进制计数,本设计选用74LS160作为计数器,开始将两片74LS160都设置成十进制加法计数器,将时个位的QC和时十位的QB接到与非门,然后分别接到两块芯片的清零端,从而实现24进制。

既个位计数器的状态为QD QC QB QA = 0100、十位计数器的状态为QD QC QB QA = 0010时,要求计数器归零。

把个位QC、十位QB通过与非门的输出信号送到个位和十位计数器的清零端,使计数器清零。

计数规律是从00 23 00 o 时计数器电路:图3.2 24小时计数器电路3、整点报时电路数字钟一般都应具备整点报时电路功能,即在时间出现整 秒内,数字钟会自动报时。

其作用方式是在整点前的十秒内,数秒1、3、5、7、9时报时灯发光,从而实现在最后十秒内 次,以示提醒点前数 出现奇闪烁五CLF >CL KA B C 0ENP HMDVCCRCQAQVCC R S慶㉛盟宅K CLCLFm A R c D a nfENT LOAD」74151本设计采用74LS151数据选择器选出整点前最后十秒内的奇数 秒。

把秒计数个位的QA 、QB 、QC 分别接到74LS151的A 、B 、C 端,当151的输入是001时,选择输出D1,以此类推,当个位出现9时,9 '的二进制数1001的后三位是001 ,刚好就是十进制数的‘’,从 而就巧妙的让只有三个数据输入端的74LS151选出了本不能选出来 的‘’。

我们通过多个与门选出当分钟的十位为5 '、个位为9 '、秒钟 的十位为5 '时的状态,将三个状态与在一起后再非一下,连接到 74LS151的G'端,从而控制74LS151在五十九分五十秒的时候开始 工作,零分零秒的时候停止工作。

74LS151工作时,秒钟是奇数秒时 报时灯亮。

整点报时电路图:D3B2 DIDO¥ W 了GNDCC D4D5DG "凸E U图3.3整点报时电路图4、校时电路设计通过三个开关分别控制时、分、秒电路的脉冲输入端CLK ,当要 调时时就把控制时脉冲的开关打开,脉冲就直接输给了时;同样当要 调节分和秒时,就分别把分、秒的脉冲开关打开实行调节,电路图女口WLK rprfi cm A B c D B -GHLK IFro CCAB -CDBG - 4SJC 7xU亠nuMu--■ COAnBCDr^u-L -JB r -Id Tr — —A — f fcnABCDTSF图3.4校时电路图5、秒脉冲产生器由振荡器与分频器组合产生秒脉冲信号。

理论计算:555定时器的脉冲时间是由于RC充放电确定的t根据三要素公式Vc1(t) Vc1( ) Vc1(0 ) Vc1( )e RC1、 2 1 旦充电过程Vcc Vcc (—Vcc Vcc)e RC13 30 01 uF四、EWB 软件和重要芯片的介绍1、EWB 提供了仿真实验和电路分析两种仿真分析手段,可用于模拟 电路、数字电路、放电过程 1 2 — Vcc 0 (—Vcc 0)e RC1 3 3 放电时间 t2 R2C 1I n2 0.7R2C1一个周期时间1 t t1 12频率-1 11.43 ft0.7( R 1 2R 2)G(R 1 2R 2)G首先确定C1=0.1f,R2=5.1 ?,需要输出频率f=1HZ ,充放电时充电时间t1 (R1 R2)C ,I n2 0.7(R1 R2)C1间算为1 ms ,可以确定R1=4.1 ?。

图3.5振荡器电路图:1HZ555CMD 1/CC TRI DiS OUT IHR RESCON5.1 Ohm-A/W[Ff]M.1Ohm /90%数模混合电路和部分强电电路的仿真实验、分析和设计。

与其它软件相比,它的最显著特点是提供一个操作简便且与实际很相似的虚拟实验平台。

并且还能进行实际无法或不便进行的实验内容。

在做实验的仿真之前,简单的了解了一下这个软件,并且对它的使用方法有了一定的熟悉。

2、74LS160 为可预置的十进制同步计数器,其管脚图如图所示:RCO 进位输出端ENP 计数控制端QA-QD 输出端ENT 计数控制端CLK 时钟输入端CLR 异步清零端(低电平有效)LOAD 同步并行置入端(低电平有效)74LS160的功能表:7416021 1 1 D7 D7 '五、仿真结果1、秒脉冲的产生电路仿真结果0 01 uF1HZ555GNDvccTPIDIS OUT IHRRECON6.1 Ohm-AAA PV 匚匚 [Ff]/4 1Ohm /09%Statistics |Analag] Oscilloscope多谐振荡秒駅冲xwb10 2-05T1434.1H2366,1714293.22657210-2S57115,3^25514.400000Time (seconds)图5.12、整点报时电路仿真结果报时电路仿真的同时,我们可以检测数字钟主体是否运行正常、校时电路是否能正常校时。

首先,分钟校时到59分,然后让数字钟自主运行,检验了校时电路、分秒的进位关系、整点报时电路;然后校时到23 : 59分,检验了时分的进位关系、数字钟的总体运行性能。

整点前的报时状态:(LIHi早工e s±LJLn>n 〒巴MJl<73、24小时电路仿真7-h.H总机电路仿真z±±丄-r 亠LP Lf圧 S? &r h ._L A f u 5■»<CL CL 合卫c DFEN N VCCRCO QA □0tBENT I■-vccRijiCA OB QCQDETITMBC C A R匚D E G L• 」113Ik 皿w Y ¥ &JL-tt Llt-工土兰六、电路的安装与调试1、选择实验台和检测各元器件首先,在实验室找了一个实验台,看实验台能不能正常启动,能不能正常加入电源,然后,将领到的元器件一一检测,其中发现运行不正常的芯片,马上就找到班长换。

相关文档
最新文档