Lect 1 - InetSec Introduction (Zao SEU 07H)
Synopsys Formality EC Solution说明书

DATASHEETOverview Formality ® is an equivalence-checking (EC) solution that uses formal, static techniques to determine if two versions of a design are functionally equivalent.Formality delivers capabilities for ECO assistance and advanced debugging to help guide the user in implementing and verifying ECOs. These capabilities significantly shorten the ECO implementation cycle.The size and complexity of today’s designs, coupled with the challenges of meeting timing, area, power and schedule, requires that the newest, most advanced synthesis optimizations be fully verifiable.Formality supports all of the out-of- the-box Design Compiler ® and Fusion Compiler™ optimizations and so provides the highest quality of results that are fully verifiable.Formality supports verification of power-up and power-down states, multi-voltage, multi- supply and clock gated designs.Formality’s easy-to-use, flow-based graphical user interface and auto-setup mode helps even new users successfully complete verification in the shortest possible time.Figure 1: Formality equivalence checking solutionIndependent formalverification of DesignCompiler and FusionCompiler synthesisresults, with built-in intelligencedelivering the highestverifiable QoRFormality Equivalence Checking and Interactive ECOKey Benefits• Perfect companion to Design Compiler and Fusion Compiler—supports all default optimizations• Intuitive flow-based graphical user interface• Verifies low-power designs including power-up and power-down states• ECO implementation assistance, fast verification of the ECO, and advanced debugging• Auto setup mode reduces “false failures” caused by incorrect or missing setup information• Multicore verification boosts performance• Automated guidance boosts completion with Design Compiler and Fusion Compiler• Verifies full-custom and memory designs when including ESP technologyFormalityThe Most Comprehensive Equivalence Checking SolutionFormality delivers superior completion on designs compiled with Design Compiler or Fusion Compiler. Design Compiler is the industry leading family of RTL Synthesis solutions. Fusion Compiler is the next generation RTL-to-GDSII implementation system architected to address the complexities of advanced process node design. Designers no longer need to disable the powerful optimizations available with Design Compiler or Fusion Compiler to get equivalence checking to pass. Design Compiler/Fusion Compiler combined with Formality delivers maximum quality of results (QoR) that are fully verifiable.Easy to Use with Auto-setup modeFormality’s auto-setup mode simplifies verification by reducing false failures caused by incorrect or missing setup information. Auto-setup applies setup information in Formality to match the assumptions made by Design Compiler or Fusion Compiler, including naming styles, unused pins, test inputs and clock gating.Critical files such as RTL, netlists and libraries are automatically located. All auto-setup information is listed in a summary report.Guided SetupFormality can account for synthesis optimizations using a guided setup file automatically generated by Design Compiler or Fusion Compiler. Guided setup includes information about name changes, register optimizations, multiplier architectures and many other transformations that may occur during synthesis. This correct-by-construction information improves performance and first-pass completion by utilizing the most efficient algorithms during matching and verification.Formality-guided setup is a standard, documented format that removes unpredictability found in tools relying on log file parsing.Independent VerificationEvery aspect of a guided setup flow is either implicitly or explicitly verified, and all content is available for inspection in an ASCII file.Figure 2: Automatic cone pruning improves schematic readability when debuggingHier-IQ TechnologyPatented Hier-IQ technology provides the performance benefits of hierarchical verification with flat verification’s out-of- the-box usability.Error-ID TechnologyError-ID identifies the exact logic causing real functional differences between two design representations. Error-ID can isolate and report several logic differences when multiple discrepancies exist. Error-ID will also present alternative logic that can be changed to correct a given functional difference; this flexibility allows the designer to select the change that is easiest to implement.Failing Pattern Display WindowAll failing input patterns can be viewed in a familiar spreadsheet-like format. The failing pattern window is an ideal way to quickly identify trends indicating the cause of a failing verification or improper setup.Figure 3: Problem areas can be easy identified by visual inspection of the Failing Pattern WindowPower-aware VerificationFormality is fully compatible with Power Compiler™ and verifies power-up and power-down states, multi-voltage, multi-supply and clock gated designs.When a reference design block is powered up, Formality verifies functionality. If the implementation design powers up differently, failing points will occur.Formality functionally verifies that the implementation powers down when the reference powers down and will detectfunctional states where the implementation does not power down as expected. The valid power states are defined in the power state table (PST).Power intent is supplied to Formality through IEEE 1801 Unified Power Format (UPF).Figure 4: Power connectivity is easy to see and debug from the schematic viewAccelerated Time to ResultsFormality’s performance is enhanced with multicore verification. This Formality capability allows verification of the design using up to four cores simultaneously to reduce verification time.Other Time-Saving FeaturesFormality’s Hierarchical Scripting provides a method to investigate sub-blocks without additional setup and is ideal for isolating problems and verifying fixes.The Source Browser opens RTL and netlist source files to highlight occurrences of a selected instance. This can help users correlate between the RTL and gate-level design versions.Error Region Correlation provides a quick, visual identification of the logic from one design that correspond to the errors isolated by Error-ID within the other.Command Line Editing allows you to take advantage of history and common text editor commands when working from Formality’s command line.Interactive ECOKey BenefitsProvides GUI-driven ECO implementation assistance, fast ECO verification, and advanced debugging. Formality guides the user through the implementation of ECOs, and then quickly verifies only the changed logic.Formality Interactive ECO FlowFormality uses the ECO RTL and an unmodified netlist. Guided GUI driven changes are made to the netlist. Once the ECO has been implemented, a quick verification is run on only the affected logic cones, eliminating the need for a full verification run on the design to verify that the ECO was implemented correctly.Once all ECO’s are implemented and fully verified, a list of IC Compiler™ commands is generated to assist in implementing the physical changes to the design.ECO GuidanceFormality highlights equivalent nets between the reference and implementation designs, and nets that have lost their equivalence due to the ECO changes in the reference. This helps the designer quickly identify where the change should be made in the implementation.Implementing the ECOEditing commands in Formality are used to modify the netlist in-place using the GUI.Rapid ECO VerificationFormality can identify and verify just the portion of the design affected by the ECO. This ensures that the ECO was implemented correctly. If the ECO verification fails, the ECO can be interactively “undone” and new edits can be made again. Once the partial verification passes, the changes are committed. This partial verification eliminates having to verify the entire design to assure that the ECO was implemented correctly, dramatically reducing the total time required to implement and verify the ECO.Figure 5: Equivalent net is highlighted between Reference design (left) and Implementation design (right)Figure 6: On a completed ECO, the schematic shows the nets affected by ECO in yellow, and the new component and net in orangeFigure 7: Formality transcript shows a successful partial verification of the portion of the design that was affected by the ECOInterface with IC Compiler IIOnce the ECO’s are implemented and verified, a final complete verification run is performed to assure that the ECO RTL and the ECO netlist are functionally equivalent.Formality produces IC Compiler II compatible ECO command file, easing the implementation in the physical design.Advanced DebuggingFormality incorporates advanced debugging capabilities that help the designer identify and debug verifications that do not pass. The designer can find compare points, equivalences (and inverted-equivalences) between reference and implementation designs, perform “what if” analysis by interactively modifying the designs, and verify equivalence between two (or multiple) points.Transistor VerificationESP combines with Formality to offer fast verification of custom circuits, embedded memories and complex I/Os. ESP technology directly reads existing SPICE and behavioral RTL models and does not require restrictive mapping or translation.Input Formats• Synopsys DC, DDC, Milkyway™• IEEE 1800 SystemVerilog• Verilog-95, Verilog-2001• VHDL-87, VHDL-93• IEEE 1801 Unified Power Format (UPF)Guided Setup Formats• Synopsys V-SDC• Formality Guide Files (SVF)Platform Support• Linux Suse, Red Hat and Enterprise• SPARC SolarisFor more information about Synopsys products, support services or training, visit us on the web at: , contact your local sales representative or call 650.584.5000.©2019 Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks isavailable at /copyright.html . All other names mentioned herein are trademarks or registered trademarks of their respective owners.。
Q系列CC-Link网络系统

A-2
A-2
[接线注意事项]
! 小心
● 不要抓住电缆拆除连接在模块上的通讯电缆。 当拆除带有连接器的电缆时,抓在连接器与模块相连的一侧。 当拆除没有连接器的电缆时,松开与模块相连一端的螺钉。 拉拔仍连接在模块上的电缆可能会损坏模块或电缆,或者由于电缆接触不良引起故障。
[启动和维护注意事项]
! 危险
目录 安全注意事项..................................................................................................................................................A- 1 修订.................................................................................................................................................................A- 4 目录.................................................................................................................................................................A- 5 关于手册 .........................................................................................................................................................A- 8
Lect01_Web基本概念与使用

广泛的用途
23
World Wide Web
超文本传输协议(HTTP) 超文本标记语言(HTML) the Web, WWW or W3
Text,
Photo, Voice,…
分布式主从结构
“客户机/服务器”模式
Web浏览器(Web Brower) Web服务器(Web Server)
24
World Wide Web
A web page is a document on the World Wide Web. A web browser is the computer program you use to retrieve and view web pages, e.g., IE, NetScape, FireFox, Opera, etc. Web pages are stored in computers called web servers. Any organization can setup a web server. A web site is a collection of web pages. The starting point for a web site sometimes is called a home page.
The Internet can support the generation of business value by enabling: communication, information, and commerce.
5
Internet的起源和发展
Internet的前身是美国的ARPAnet,该网是 全世界第一个较完善的分布式跨国分组交 换网。 80年代初,TCP/IP协议正式成为ARPAnet 的网络协议,成为美国军用标准,随着 TCP/IP协议的标准化,ARPAnet的规模不 断扩大。 1982年,ARPAnet与MILNET等网络合并 而成为Internet早期的主干网。
lec-1 introduction to contract law

2013-7-27
17
1.3.1 Formal Contracts • Formal Contracts (正式合同) are:
1. Contracts of Record (法庭判决和法庭记录, 实际上不是合同法意 义上的合同) • This is a written order from a court – not even really a contract 2. Contracts under Seal (铅字腊封合同), required for: • A Power of Attorney • A Gratuitous Promise (a promise without consideration)
2013-7-27
21
1.4 Elements of a valid contract
2013-7-27
22
1.4 Elements of a valid contract • There are 6 elements in a valid contract
– Every contract must have all six – We will cover all of these in detail in later chapters
2013-7-27
12
1.2 Purpose of Contract • Competing Contract Theories
2. Utilitarian or Economic theory – 1. Contracts provide economic benefits by: 1. Encouraging trust and cooperation 2. Reducing inefficiency and uncertainty 2. Therefore, Courts should 1. Enforce contracts for maximum economic benefit 2. Note: long-term predictability of contract law provides economic benefit
Triconex-培训讲义基础版解析

Input
Leg
A
Input
Leg
B
Input
Leg
C
Output
Leg
A
Output
Leg
B
Output
Leg
C
Main
Processor
C
Main
Processor
B
I/O Bus
I/O Bus
I/O Bus
TriBus
TriBus
TriBus
Voter
Main
系 统 应 用
◇监控系统 ◇关键过程控制: 透平机械控制 ◇应用领域: 核电 交通及其他
Triconex 系统集成中心
什么是Tricon?
Triconex TMR
◇增加设备的安全性 ◇减少误停车次数和停车时间 ◇增加产量 ◇系统维护简单,快捷的技术支持 ◇兼容性好,可以和现有的或将来的系统方便的兼容
Tricon部件
一个基本的Tricon高密系统由下列部件组成: A 容纳各模件的机架 B 各种类型的I/O模件 C 现场端子板 D 以及编程工作站或操作站。
Triconex TMR
硬件表决机制则对所有来自现场的数字式输入和输出进行表决和诊断。 模拟输入则进行取中值的处理。因为每一个分电路都是和其它两个隔离的,任一分电路内的任何一个故障都不会传递给其它两个分电路。 维修工作,包括拆卸和更换有分电路故障的故障模件都可以在线情况下进行,而不中断过程控制。(在有热备卡件的情况下,并确认热备卡件处于工作状态,方可进行。)
Lec01intro教学提纲

Switched Networks
A network can be defined recursively as...
– two or more nodes connected by a link, or
two or more networks connected by a node
Application programs
Reques t/reply Mes sage s tream
channel
channel
Hos t-to-host connectivity
Hardware
10
Protocols
Building blocks of a network architecture Each protocol object has two different interfaces
link Buffer packets that are contending for the link Buffer (queue) overflow is called congestion
■■■
6
Inter-Process Communication
Turn host-to-host connectivity into process-to-process communication.
Request/Reply
– distributed file systems
– digital libraries (web)
Stream-Based video: sequence of frames
1/4 NTSC = 352x240 pixels (352 x 240 x 24)/8=247.5KB 30 fps = 7500KBps = 60Mbps
ieee1012-1998
ieee1012-1998
IEEE 1012-1998 是IEEE(Institute of Electrical and Electronics Engineers,电气和电子工程师协会)制定的一项标准,关于软件验证和验证的指南。
IEEE 1012-1998 的全名是"IEEE Standard for Software Verification and Validation",即《软件验证和验证的标准》。
该标准提供了关于软件验证和验证过程的指南,旨在确保软件系统的质量和可靠性。
该标准涵盖了软件验证和验证的各个方面,包括定义、目标、原则、过程、活动和任务等。
它提供了一套规范化的方法和步骤,以帮助软件开发团队在软件生命周期的不同阶段进行验证和验证活动,从而发现和解决潜在的问题和错误。
IEEE 1012-1998 的目标是提供一种系统化的方法,以确保软件系统在设计、开发和交付过程中满足特定的需求和规范。
它强调了验证和验证过程的重要性,并提供了一些常用的技术和方法,如测试、检查、审查等,来支持软件质量的评估和验证。
需要注意的是,IEEE 1012-1998 是在 1998 年发布的标准,因此可能不再是最新版本。
在实际应用中,建议参考最新的相关标准或文档,以确保符合当前的行业标准和最佳实践。
软件设计模式课件 Lect0-Introduction-1
+updateCarPicture(JLabel imgLabel,ImageIcon imgIcon) //update car picture
#createImageIcon(String path): ImageIcon
二手车拍卖系统的类图设计-单独一个类的情况
CarAuctionGUI
getSelectedCar():String getBitPrice():String getCarList():String[] setUpComboBox(String[] carList):void
+setUpCarList(JComboBox cmbCarList,String[] carList) //add car list onto cmbCarList
+constructCarFileUrl(String carChosen): URL //produce an UML based on car name
Dining-room Bathroom
Studyroom
客厅(living room)
Bedroom1 Bedroom2
门
民房设计:有许多房间,每个房间都有其特定的功能
Introduction to Software Architecture
老北京四合院的架构: 由很多房屋组成,每个房屋都有其特 定的功能,房屋的位置也很主要
面 • 应用层:业务逻辑都
在这一层 • 数据库访问层:包含
所以的数据库访问方 法 b) 各层之间有调用关系
Database
Introduction to Software Architecture
二手车拍卖系统的例子
二手车拍卖系统用户图形界面
泰勒SafeNet身份验证器产品介绍说明书
Contents3 Diverse Form Factors for Convenient Strong Authentication4 Hardware OTP Tokens4 Certificate-based Virtual Smart Card5 Certificate-based Smart Cards6 Certificate-Based USB Tokens6 FIDO Devices7 Smartphone and Software Tokens7 Tokenless Authentication Solutions8 Card Readers8 About SafeNet Identity and Access Management Solutions 8 About ThalesDiverse Form Factors for Convenient Strong AuthenticationOffering the broadest range of multi-factor authentication methods and form factors, Thales facilitates and empowers enterprise-wide security initiatives for maintaining and improving secure access to enterprise resources.Thales’s SafeNet authenticators include hardware and software OTP tokens, FIDO devices, X.509 certificate-based USB tokens , physical and virtual smart cards, OOB, hybrid tokens, and phone tokens for all mobile platforms. Many Thales SafeNet hardware tokens support physical access control to secure buildings and sites.Allowing you to address numerous use cases, assurance levels and threat vectors, Thales’s authenticators are supported by authentication platforms which offer uniform, centralized policy management—delivered in the cloud or on premises. Supporting software solutions include SafeNet Trusted Access (STA), an access management and authentication service, and SafeNet Authentication Client Middleware, for certificate-based authentication.Thales partners with 3rd-party CMS vendors to offer the most comprehensive identity access and authentication management solutions.To tailor strong authentication to your business and IT needs, choose from the authenticators shown below:Hardware OTP TokensThales’s SafeNet OTP hardware tokens provide a strong and scalable foundation for securing access to enterprise, web-based and cloud applications, and complying with privacy and security regulations.Thales’s SafeNet hardware tokens offer rich case-branding options, and are field-programmable by the customer, enabling organizations to maintain stringent control over their own critical OTP security data.Certificate-based Virtual Smart CardEnable your cloud transformation securely by building on your current PKI authentication framework for cloud access. Increase mobility by allowing users to access enterprise apps with PKI credentials, from any device via VDI.As convenient as another credit card in your wallet, Thales’s SafeNet credit card-size form factors enable enhanced security with PKI Certificate-Based-Authentication (CBA) and enable preboot authentication, disk encryption, file encryption, digital signatures, and secure certificate and key storage.All Thales smart card authenticators can easily double as physical access cards to secure buildings and sites, in addition to offering rich branding options and support for photo-badging. Depending on the configuration, Thales’s certificate-based authenticators are FIPS or CC certified. The dual interface versions of SafeNet IDPrime Smart Cards comply with the ISO 14443 standard which is also compatible with some NFC readers present in smartphones and tablets. SafeNet IDPrime Smart Cards are supported by SafeNet Authentication Client Middleware or SafeNet Minidriver.Thales’s portfolio of certificate-based USB tokens offers strong multi-factor authentication in a traditional USB form factor, enabling organizations to address their PKI security needs. SafeNet PKI USB tokens offer a single solution for strong authentication and applications access control, including remote access, network access, password management, network logon, as well as advanced applications including digital signature, data and email encryption.Depending on their configuration, the certificate-based USB tokens can be FIPS and CC certified.FIDO DevicesFIDO authenticators enable multi-factor authentication to cloud and web services as well as Windows 10 devices. Thales offers a range of FIDO devices, including a combined PKI-FIDO smart card and a FIDO USB token.Smartphone and Software TokensOffering the convenience of phone-as-a-token authentication, Thales offers PUSH OTP software authentication for tablets and mobile phones.Tokenless Authentication SolutionsThales’s tokenless technology enables any user to be authenticated anytime and anywhere. Thales’s context-based authentication offers convenient, frictionless strong authentication while maintaining the flexibility and agility to add protection with stronger methods of security in higher risk situations. Combined with “step-up” authentication, context-based authentication optimizes a layered approach to access security by assessing user login attributes and matching them against pre-defined security policies.Card ReadersInterface devices, or readers, are an essential component of any smart card deployment and ensure communication between smart cards and network services, but they must do so in a convenient yet secure manner. Thales’s full range of smart card readers provide the perfect balance of ease of use, backed by the highest level of security.About SafeNet Identity and Access Management SolutionsThales's industry-leading Access Management and Authentication solutions let enterprises centrally manage and secure access to enterprise IT, web and cloud-based applications. Utilizing policybased SSO and universal authentication methods, enterprises can effectively prevent breaches, migrate to the cloud securely and simplify regulatory compliance.To learn more, visit: /access-managementAbout ThalesThe people you rely on to protect your privacy rely on Thales to protect their data. When it comes to data security, organizations are faced with an increasing number of decisive moments. Whether the moment is building an encryption strategy, moving to the cloud, or meeting compliance mandates, you can rely on Thales to secure your digital transformation.Decisive technology for decisive moments.> <Contact usFor all office locations and contact information, please visit /contact-ush a l e s - N o v e m b e r 2020 • R M v 46。
Lectora1
章、节 页
2011年10月14日星期 五
相当于文件夹, 相当于文件夹, 相当于文件夹下的文件
唐山广播电视大学网络课件制作工具培训
5.继承 5.继承
• 继承就是创建低级别的对象(作品、章、节、页) 时,系统会默认为低级别对象创建高级别对象上 已有的控件对象 例如
• 放置在一个页面上的任何翻页按钮只出现在该页面上。 • 放置在一个节上的任何翻页按钮会默认出现在该节的每个子 节的所有页面上。 • 放置在一个章上的任何翻页按钮会默认出现在该章的每个节的 所有页面上。 • 放置在一个测试上的任何翻页按钮会默认出现在该测试的每个 节的所有页面上。 • 放置在您的作品上的任何翻页按钮会默认出现在该作品的每个 章的每个节的所有 页面上。
难点 继承、 继承、层次 结构 章、节、页 操作 各种测试题 型 多媒体工具
基本概念 创建作品1 创建作品 创建作品2 创建作品 创建作品3 创建作品 作品发布与测验
实例制作( 实例制作(学员作 业)
学员完成一个作品
2011年10月14日星期 五
唐山广播电视大学网络课件制作工具培训
基本概念
• 1.启动 启动 • 2.设计界面 • 3.工作模式 • 4. 4.层次结构 • 5.继承 • 6.首选项设置
2011年10月14日星期 五
唐山广播电视大学网络课件制作工具培训
2011年10月14日星期 五
唐山广播电视大学网络课件制作工具培训
添加章、节、页
• 章是作品的最大的组织单位,可以包含节、 页 快捷键ctrl+1 • 节是第二组织单位,可以包含子节和页 ctrl+2 快捷键ctrl+2 • 页是最小的组织单位 快捷键ctrl+3
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Our community becomes both more diverse and more integrated
5
Internet Security - Introduction
2005/09/20
The Solution Space
Type of Protection Aspects of Enforcement Procedures of Realization
Vulnerability Exploitation Self Replicating Code (Computer Virus) Attack Sophistication Low 1980
1985
1990
1995
2000
4
Internet Security - Introduction
2005/09/20
Situation is getting worse, and will not get better
More "Bad Guys":
Armature Hackers "Ankle Bitters" Professional Criminals Corporate Espionage International Cyber-warfare
More Powerful Attacks :
Public Domain Attack Tools Automated Attacks Concealed Tracks
Consequences is becoming more devastating
3
Internet Security - Introduction
devastating mistakes
Easy to Use vs. Safe to Operate Time to Market vs. Perfect in Making Performance vs. Costs Many users One Network Mismanagement Flaws Under-investment
8
Internet Security - Introduction
2005/09/20
Procedures of Realization
PLANNING PRACTICE ASSET IDENTIFICATION Goal Establishment ASSET EVALUATION HARDEN CONFIG
Lecture I : Internet Security Landscape
Internet Security: Principles & Practices Southeast University, College of Software Engineering Summer 2007
John K. Zao, PhD SMIEEE jkzao@
INFORMATION SECURITY (INFOSEC) PHYSICAL SECURITY OPERATIONAL SECURITY (OPSEC) TECHNICAL SECURITY COMPUTATION SECURITY (COMPUSEC)
COMMUNICATION SECURITY (COMSEC)
Integrity Checks
Non-keyed Checks Keyed Checks
Digital Signature Access Control Mechanisms
Access Control Lists Capabilities
Integrity
Connectionless Integrity Connection Integrity Selective Field Integrity
�
2005/09/20
Attack Sophistication vs. Intruder Knowledge
High Distributed Denial of Service Tools Intruder Knowledge Stealth Scanners Denial of Services Automated Scanners Packet Sniffers Scanners Back Door Exploitation Audit Blocking Password Cracking Password Guessing Session Hijacking Burglary GUI Attacks WWW Attacks
2
Internet Security - Introduction
2005/09/20
The Problem
Internet (Packet Switching) is inherently insecure
Highly Asymmetric Defense
Offenders can use little amount of resources Defenders must consume large amount of resources
Data Origin Authentication Peer Entity Authentication
Security Mechanisms
Encipherment
Secret Key Ciphers Public Key Ciphers
Confidentiality
Connectionless Confidentiality Connection Confidentiality Selective Field Confidentiality Traffic Flow Confidentiality
The Causes
Our world relies increasingly on a Global Information Infrastructure
Why? Add Values Reduce Costs Increase Productivity
Our industry makes more aggressive tradeoffs and thus more
Non-Repudiation
Data Origin Data Reception
Traffic Padding Notarization Audit
Access Control
7
Internet Security - Introduction
2005/09/20
Aspects of Enforcement
TYPES OF PROTECTION ( SERVICES VS MECHNIASMS )
6
Internet Security - Introduction
2005/09/20
Types of Protection (ISO 7498-2) 7498Security Services
Authentication
Preventive
THREAT IDENTIFICATION Vulnerability Analysis RISK ASSESSMENT
DETECT
Reactive
RESPONSE
Strategy Development
POLICY/MEASURE FORMULATION
IMPROVE
Corrective