汽车尾灯控制系统说明书

合集下载

汽车尾灯控制器

汽车尾灯控制器

汽车尾灯控制器12. 132 汽车尾灯控制器1.1系统需求分析根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1.汽车正常使用是指示灯不亮2.汽车右转时,右侧的一盏灯亮3.汽车左转时,左侧的一盏灯亮4.汽车刹车时,左右两侧的指示灯同时亮5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用1.2汽车尾灯控制器的工作原理汽车尾灯控制器就是一个状态机的实例。

当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯rd1亮;当汽车向左侧转弯时,汽车左侧的指示灯ld1亮;当汽车刹车时,汽车右侧的指示灯rd2和汽车左侧的指示灯ld2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯rd3和汽车左侧的指示灯ld3同时一直亮。

通过设置系统的输入信号:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night和系统的输出信号:汽车左侧3盏指示灯ld1、ld2、ld3和汽车右侧3盏指示灯rd1、rd2、rd3实现以上功能。

系统的整体组装设计原理如图1.1所示。

图1.1 系统的整体组装设计原理汽车尾灯控制器有4个模块组成,分别为:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块,以下介绍各模块的详细设计。

1.3.1时钟分频模块整个时钟分频模块的工作框图如图1.2所示。

图1.2时钟分频模块工作框图时钟分频模块由VHDL程序来实现,下面是其VHDL代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport(clk : in std_logic;q : out std_logic);end entity fenpin;architecture behave of fenpin issignal count:std_logic_vector(7 downto 0);beginprocess(clk)beginif rising_edge(clk) thencount<=count+1;end if;end process;1.3.2 汽车尾灯主控模块汽车尾灯主控模块工作框图如图3.3所示。

汽车尾灯控制系统设计毕业设计说明书

汽车尾灯控制系统设计毕业设计说明书
第六章 产品使用说明................................................................................................24 6.1 功能描述........................................................................................................... 24 6.2 使用说明........................................................................................................... 24
第三章 硬件设计方案..................................................................................................5 3.1 设计思路............................................................................................................ 5 3.2 硬件选着及论证................................................................................................ 5 3.2.1 硬件选择.................................................................................................... 5 3.2.2 PLC 的硬件系统......................................................................................... 5 3.2.3 PLC 的工作原理......................................................................................... 6 3.2.4 LED 灯的原理............................................................................................. 8 3.2.5 汽车 LED 尾灯............................................................................................ 9 3.3 PLC S7-200 编程设计及分析........................................................................... 9 3.3.1 I/0 端口的分布......................................................................................... 9 3.3.2 I/0 接线图............................................................................................... 10

汽车尾灯控制电路的设计说明

汽车尾灯控制电路的设计说明

目录1、mutisim简介11.1 概述11.2 具有的功能模块12、设计要求与思路52.1 设计目的与要求52.2设计思路与构想53、单元电路设计73.1秒脉冲电路的设计73.2 开关控制电路的设计83.3 三进制计数器电路的设计93.4 译码与显示驱动电路的设计113.5 尾灯状态显示电路的设计134、电路仿真与分析144.1 电路仿真总电路图144.2 汽车尾灯控制器电路的工作原理144.3 参数计算与器件选择155、电路安装与调试166、元器件清单177、设计体会18参考文献19本科生课程设计成绩评定表201、mutisim简介1.1 概述Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。

它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。

凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。

借助专业的高级SPICE 分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。

与NI LabVIEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。

1.2 具有的功能模块:&Oslash; 直观的图形界面整个操作界面就像一个电子实验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的;&Oslash; 丰富的元器件提供了世界主流元件提供商的超过17000多种元件,同时能方便的对元件各种参数进行编辑修改,能利用模型生成器以与代码模式创建模型等功能,创建自己的元器件。

汽车尾灯控制系统

汽车尾灯控制系统

汽车尾灯控制电路设计一、实验目的:熟悉常用芯片的使用,掌握时序逻辑电路和组合逻辑电路的分析方法,培养设计能力。

二、设计要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管代替),应使指示灯达到三个要求:a、汽车正常运行时指示灯全灭;b、右转弯时,右侧三个指示灯按右循环顺序点亮;左转弯时左侧3个指示灯按左循环顺序点亮。

c、临时刹车时所有指示灯同时闪烁。

三、设计步骤:(1)列出尾灯与汽车运行状态表表1 尾灯和汽车运行状态关系表(2)设计总体框图由于汽车左转弯时,三个灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与各给定条件(S1、S2、CP、Q1、Q0)的关系,即逻辑功能表如表2所示(表中0表示灯灭状态,1表示灯亮状态)。

表2 汽车尾灯控制逻辑功能表由表2得出总体框图,如图1所示:图1 汽车尾灯控制电路原理框图(3)设计单元电路三进制计数器电路可由双JK触发器74LS76构成。

图2 三进制计数器电路图采用CP下降沿触发的JK触发器,当CP由1跳变为0时,触发器的输出依据J和K的状态而定。

表3为J-K触发器的状态表。

表3 J-K触发器的状态表由双JK组成的三进制计数器的逻辑功能表如表2。

汽车尾灯电路如图3所示,其显示驱动电路由6个发光二极管和6个反相器构成,译码电路由3-8译码器74LS138和6个与非门构成。

74LS138的三个输入端A2、A1、开关控制电路显示、驱动电路译码电路三进制计数器尾灯电路S1 S21J 1K 1Q1Q2J 2K2Q 2Q74LS76 “1”“1”CP Q0Q174LS763A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。

当S1=1,S2=0时,使能信号A=G=1,计数器的状态为00,01,10时,74LS138对应的输出端0Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1”无效),即反相器G1~G3的输出也依次为0,故指示灯D3→D2→D1按顺序点亮示意汽车左转弯。

u-be说明书 尾灯

u-be说明书 尾灯

u-be说明书尾灯
XXX尾灯,其包括后尾灯壳体。

其特征在于:所述的后尾灯壳体设有安装凹腔,安装凹腔内设有表面均匀排列若千LED灯珠的铝基板,铝基板上设有两个固定孔,在铝基板上设有由透明材料加工而成的导光板。

所述导光板的表面对应于LED灯珠设有导光柱,导光板的另一面设有两个固定柱,固定柱穿过固定孔与后尾灯壳体连接,还包括一个不透光的遮光板,遮光板上设有与导光柱对应的通孔,遮光板罩于导光板上,导光柱穿过通孔,还包括一个与后尾灯壳体连接的透光罩壳。

XXX尾灯也称小灯,开小灯开关,就就亮,开大灯时也亮;刹车灯是受刹车灯开关来控制的,刹车灯和尾灯是一个灯泡,内部有两个灯丝,共用一个接地极,2个火线极。

我们强烈建议您不能将车辆改装或更改原件的位置。

因为这样将会严重影响整车的稳定性、制动性能及安全性。

如果将车辆改装或更改原件的位置,如电气系统或者其他设备,都是不符合行车安全和违反有关交通管
理规定的。

对于因用户自行改装造成的一切质量问题及后果,由用户承担一切责任。

因此,请用户切实遵守。

车尾灯控制器(基于ED2板)(完美版)

车尾灯控制器(基于ED2板)(完美版)

汽车尾灯控制器一、课程设计的内容尾灯与汽车运行状态控制表开关控制运行状态左尾灯右尾灯S1 S0 D4D5D6 D1D2D30 0 正常运行灯灭灯灭0 1 右转弯灯灭按D1D2D3顺序循环点亮1 0 左转弯按D4D5D6顺序循环点亮灯灭1 1 临时刹车所有尾灯随时钟CP同时闪烁二、课程设计的要求与数据课程设计要求:1.查阅相关的学习资料包括软件的相关资料。

2.设计一个汽车尾灯控制器,完成其各个数据技术要求。

3.规范撰写课程设计报告课程设计数据要求:汽车左右尾灯各有三个指示灯,当控制开关S1,S0的状态为“00”时,汽车尾灯的右尾灯D1D2D3与左尾灯D4D5D6都不亮,表示汽车正常向前行驶。

当S1,S0的状态为“01”时,则汽车的右尾灯D1D2D3依次循环闪烁,左尾灯都不亮,表示汽车即将右转弯。

当S1,S0的状态为“10”时,则汽车的左尾灯D4D5D6依次循环闪烁,右尾灯都不亮,表示汽车即将左转弯。

当S1,S0的状态为“11”时,则汽车的左右尾灯D4D5D6和D1D2D3都同时闪烁,表示汽车正在刹车。

三、课程设计应完成的工作1.相关资料的收集,如74LS194双向移位寄存器的功能,74LS292分频加法器的功能等。

2.quartus II软件的掌握。

3.拟定设计方案。

4.原理图的设计。

5.编译修改与仿真。

6.调试验证。

7.撰写课程设计报告。

四、课程设计进程安排序号设计各阶段内容地点起止日期学习课程设计内容及相关要求实验2-214 12.2查阅相关资料宿舍514 12.2软件的安装,设计思路及方案宿舍514 12.2原理图初步设计实验2-214 12.3编译、修改与仿真宿舍514 12.3验证实验2-214 12.4撰写课程设计报告宿舍514 12.5五、应收集的资料及主要参考文献收集的相关资料:74LS194双向移位寄存器的功能,74LS292分频加法器的功能。

主要参考资料:《数电》网址:/link?url=_xG0c3-wpfRnwYSxyJNi8slhjWTKcA-jsFIxua7CeqytAvqWHt xJe5OJkZPZFJpA0RESO9o6kH0erJ1pNMBjEwUzyYj96fQLJDO6beTgS_W摘要本课程设计是为了培养我们的独立思考能力及实验动手能力,让我们掌握数字实验设计和调试的方法,提高我们的分析,解决问题的能力。

汽车尾灯控制系统

汽车尾灯控制系统

/* Function: 在小脚丫STEP-MXO2上用状态机实现模拟汽车尾灯控制。

1),用三色LED代表左右汽车尾灯2),用拨码开关控制汽车行驶状态3),用单色LED显示汽车行驶状态尾灯控制:1),直行:尾灯不亮,单色LED向上流水滚动2),右转:右侧尾灯按秒闪烁,左侧不亮,单色LED亮其中4个灯3),左转:左侧尾灯按秒闪烁,右侧不亮,单色LED亮另外4个灯4),停车:两侧尾灯同时闪烁,单色LED保持全亮5),倒车:两侧尾灯常亮,单色LED向下流水滚动拨码开关状态:STOP: state_in == 4'b0000 停车GO: state_in == 4'b0001 直行LEFT: state_in == 4'b0010 左转RIGHT: state_in == 4'b0100 右转BACK: state_in == 4'b1000 倒车其他拨码开关输入状态同STOP执行相同操作*/module tail_lamp(input sys_clk, //系统时钟,外部时钟信号的最开始的输入,还需要经历分频才可以被状态机、计数器使用input sys_rst_n, //系统复位,当输入为低电平逻辑‘0’时,所有的模块都产生复位,系统回复到初始状态input [3:0] state_in, //拨码开关输入,四位拨码开关的输入,实际上我们只使用四个状态,另其中仅有一位为高电平,即可实现四个状态的变化output reg [2:0] led_left, //左侧尾灯[msb~lsb] = [R,G,B],三位的输出信号,控制可控颜色led灯的闪亮和颜色的变化output reg [2:0] led_right, //右侧尾灯[msb~lsb] = [R,G,B],三位的输出信号,控制可控颜色led灯的闪亮和颜色的变化output reg [7:0] led_out //流水灯输出,八位的信号输出,可以利用状态机实现);parameter CNT_NUM = 6_000_000; //计数器实现分频的分频系数,经历分频系数为6000000的分频,可以使信号变为周期为1s,频率为1Hz的信号reg [23:0] cnt; //计数器,定义24位的变量作为计数器reg [3:0] current_state;//当前状态,定义4位的变量为当前信号reg [3:0] next_state; //下一个状态,定义4位的变量作为下一状态信号,与current_state当前状态相关reg clk_1hz; //1Hz分频信号,clk外部时钟信号经过分频系数为6000000的分频器输出的信号,频率为1Hzreg [7:0] _go; //前进时单色LED的状态,定义8位的信号作为前进时候的状态信号reg [7:0] _back; //倒车时单色LED的状态,定义8位的信号作为后退时候的状态信号/*计数器实现0.5秒的周期*/always@(posedge sys_clk or negedge sys_rst_n)if(!sys_rst_n)cnt <= 1'b0;else if(cnt >= CNT_NUM-1)cnt <= 1'b0;elsecnt <= cnt + 1'b1;/*当外部输入时钟有下降沿变化变化、或者复位信号有变化的时候,该计数模块启动;如果输入的复位信号为低电平的时候,复位信号低电平有效,产生复位效果,计数器cnt置为全零状态;如果复位信号为高电平的时候,复位信号不产生效果,则计数器cnt正常计数。

汽车尾灯控制器(正文)

汽车尾灯控制器(正文)

1.引言随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。

现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。

本课程设计根据状态机原理实现了汽车尾灯常用控制。

1.1 设计目的本次设计的目的就是通过实践深入理解状态机原理,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。

通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。

通过对实用汽车尾灯控制器的设计,巩固和综合运用所学知识,提高分析、解决EDA技术实际问题的独立工作能力。

1.2 设计内容根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。

汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。

把各个模块整合后就形成了汽车尾灯控制器。

通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。

2.EDA、VHDL简介2.1 EDA技术2.1.1 EDA技术的概念EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

2.1.2EDA技术的特点利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊目录绪论 (2)第1章设计系统的介绍 (3)1.1 设计软件Multisim的介绍 (3)1.2设计语言 C语言的介绍 (3)第2章方案设计及规划 (4)2.1 设计内容及要求 (4)2.2设计方案分析及比较 (4)2.4设计方案规划及设计(具体设计) (5)第3章软件设计及仿真 (9)第 4章电路的制作 (19)第5章心得体会 (21)主要元器件清单 (22)参考文献 (23)┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊绪论汽车作为现代交通工具已大量进入人们的生活,随着电子技术的发展,对于汽车的控制电路,也已从过去的全人工开关控制发展到了智能化控制。

在夜晚或因天气原因能见度不高的时候,人们对汽车安全行驶要求很高.汽车尾灯控制系统给大家带来了方便。

汽车尾灯控制器是随汽车智能化技术的发展而迅速发展起来的,汽车尾灯一般是用基于微处器的硬件电路结构构成,正因为硬件电路的局限性,不能随意的更改电路的功能和性能,且可靠性得不到保证,因此对汽车尾灯控制系统的发展带来一定的局限性。

难以满足现代汽车的智能化发展[8]。

随着(EDA)仿真技术的发展,数字系统的设计技术和设计工具发生了深刻的变化。

利用硬件描述语言对数字系统的硬件电路进行描述是EDA的关键技术之一。

C语言是目前主流的硬件描述语言,它具有很强的电路描述和建模能力,且有与具体硬件电路无关和与设计平台无关的特性.在语言易读性和层次化结构设计方面表现出强大的生命力和应用潜力。

本文采用先进的EDA技术,设计了一种基于FPGA的汽车尾灯控制系统.并对系统进行了仿真及验证。

用一片FPGA芯片实现从而大大简化了系统结构,降低了成本。

提高了系统的先进性和可靠性,能实现控制器的在系统编程。

采用这种器件开发的数字系统其升级与改进极为方便。

LED(1ight emitting diode,发光二极管)由于其具备体积小、寿命长、低能耗、耐震动、无频闪及反应速度快等优点已成为备受瞩目的新一代车灯光源技术。

目前通用的汽车尾灯光源仍然是白炽灯和节能灯占主导地位,加上红、黄等配光透镜实现配光要求,缺点是易损坏、耗电量大、寿命短、激励响应时间长,给道路交通带来安全隐患等。

现有的LED汽车尾灯主要有两种:一种是用多个LED密布于灯壳内直接经配光透镜配光,其缺点是用了多颗LED或者用大功率LED,成本高;另外一种是将LED排布成平面或者柱状置于灯壳内,经自由曲面反射腔配光或自由曲面反射腔和配光透镜联台配光,其缺点是自由曲面反射腔制作工艺复杂。

现针对目前LED汽车尾灯配光困难、体积大等缺陷,有效利用LED光源体积小、亮度高等特点,设计出一种节能、高效的新型组合式LED汽车尾灯。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊第1章设计系统的介绍1.1 设计软件Multisim的介绍Multisim(EWB的最新版本)软件:是Interactive Image Technologies Ltd在20世纪末推出的电路仿真软件。

其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、双踪示波器(对于multiSIM7还具有四踪示波器)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪和电压表及电流表等仪器仪表。

还提供了我们日常常见的各种建模精确的元器件,电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。

模拟电路方面有各种运算放大器、其他常用集成电路。

数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。

MultiSIM7还具有I-V分析仪和Agilent信号发生器、Agilent万用表、Agilent示波器和动态逻辑平笔等。

同时它还能进行VHDL仿真和Verilog HDL仿真。

1.2设计语言 C语言的介绍C语言是一种计算机程序设计语言。

它既具有高级语言的特点,又具有汇编语言的特点。

它由美国贝尔研究所的D.M.Ritchie于1972年推出。

1978后,C语言已先后被移植到大、中、小及微型机上。

它可以作为工作系统设计语言,编写系统应用程序,也可以作为应用程序设计语言,编写不依赖计算机硬件的应用程序。

它的应用范围广泛,具备很强的数据处理能力,不仅仅是在软件开发上,而且各类科研都需要用到C语言,适于编写系统软件,三维,二维图形和动画。

C语言发展如此迅速,而且成为最受欢迎的语言之一,主要因为它具有强大的功能。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊第2章方案设计及规划2.1 设计内容及要求本次设计要求根据已知条件,设计、制作一个汽车尾灯显示的51电路。

设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:1. 汽车正常行驶时,尾灯全部熄灭。

2. 当汽车右转弯时,右侧3个指示灯按右循顺序点亮。

3. 当汽车左转弯时,左侧3个指示灯按左循顺序点亮。

4. 临时刹车时,所有指示灯同时闪烁。

5. 选择电路方案,完成对确定方案电路的设计。

设计要求画出电路原理图并阐述其基本原理;元器件及参数选择;电路仿真与调试;制作实际运行装置。

2.2设计方案分析及比较在设计本电路时,一共考虑过两种方案。

下面简单的介绍一下这两种方案:第一种方案:通过把脉冲电路、开关控制电路、三进制电路、译码与显示驱动电路等模块组合来实现。

首先,通过555定时器构成的多谐振荡器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给双J-K触发器构成的三进制计数器和开关控制电路中的三输入与非门的输入信号。

其次,双J-K触发器构成的三进制计数器用于产生00、01、10的循环信号,此信号提供左转、右转的原始信号。

最后,左转、右转的原始信号通过6个与非门以及7410提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。

得到的信号即可输出到发光二极管上,实现所需功能[11]。

这种方案的实现复杂,成本高,调试起来不容易成功,太过繁琐。

第二种方案:通过STC89C52单片机编写程序,来控制LED的亮灭。

这种方案中单片机编程较直接,用硬件电路搭建方便,通过STC89C52单片机编写程序,来控制LED的亮灭。

这样可以大大简化系统结构,降低材料的成本。

提高系统的先进性和可靠性,能实现控制器的系统编程。

采用这种器件开发的数字系统其升级与改进极为方便。

经过小组商量,我们选择了使用第二种方法。

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊一、由于现在单片机技术普及,加上用单片机实现起来很方便也很简单,所以我决定使用单片机来驱动整个电路的运行。

二、单片机的运行都是要有晶振驱动的,有的单片机是内部晶振驱动单片机,有的单片机是外部设计含有晶振的驱动电路来驱动单片机的运行。

所以要设计一个驱动电路去驱动单片机。

三、需要往单片机中下载编好的程序,一是用在线下载,二是用下载器下载到单片机中。

在没有下载器的情况下,使用在线下载很方便。

2.4设计方案规划及设计(具体设计)1 系统设计框架图如图2.1所示。

图2.1 框架图2 设计任务设计一个汽车尾灯控制电路,用6个发光二极管模拟汽车尾灯,即左尾灯(L1-L3)3个发光二极管;右尾灯(D1-D3)3个发光二极管。

用两个开关分别控制左转弯尾灯显示和右转弯尾灯显示。

当右转弯开关被打开时,右转弯尾灯显示的3个发光二极管按右循环显示。

当左转弯开关被打开时,左转弯尾灯显示的3个发光二极管按左循环显示。

当急刹车时,6个发光二极管闪烁[1]。

D1 D2D3D1 D2D3D1 D2D3图2.2 右转弯显示规律图方案确定(单片机)软件编程软件仿真测试元器件选择电路连接┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊L3L2L1L3L2L1L3L2L1图2.3 左转弯显示规律图L1L2L3D1D2D3L1L2L3D1D2D3图2.4 急刹车显示规律图根据以上要求,要实现当右转弯开关打开时,右转弯尾灯显示的3个发光二极管按右循环规律显示如图2.2;当左转弯开关打开时如图2.3;急刹车时如图2.4。

根据不同的状态,绘制汽车尾灯和汽车运行状态表如表2.1所示。

设左转弯按键为key1,右转弯按键为key2,急刹车按键为key3。

开关控制汽车运行状态右转尾灯左转尾灯key1 key2 key3 D1D2D3L1L2L30 0 0 正常运行灯灭灯灭1 0 0 左转弯灯灭L3→L2→L1→L30 1 0 右转弯D1→D2→D3→D1灯灭0 0 1 急刹车闪烁闪烁表2.1 汽车尾灯和汽车运行状态表3 整体电路工作原理经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:按键电路、LED显示电路、含有晶振的驱动的电路、复位电路。

单片机在不停的扫描3个按键相连的IO口的高低电平,当3个按键中的一个按键按下去后,单片机会确定是那个按键按下去了;当没有按键按下去的时候,这3个IO口管脚都是高电平;当某一个按键按下去后,与该按键想连接的IO口管脚变成低电平;根据不同的按键按下去的情况,控制与6个LED相接的IO口的高低电平来控制LED亮灭情况。

4 单元电路设计1)按键电路:最左边为急刹车按键,中间为右刹车按键,最右边为左刹车按键。

单片机在不停的扫描3个按键相连的IO口的高低电平,当3个按键中的一个按键按下去后,单片机会确定是那个按键按下去了;当没有按键按下去的时候,这3个IO口管脚都是高电平;当某一个按键┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊按下去后,与该按键想连接的IO口管脚变成低电平[8]。

如图2.5所示。

R3(2)R310kR410kR510k图2.5 按键电路图2)LED电路:要在左转向的时候,左边的3个灯左循环点亮,右转向的时候,右边的3个灯右循环循环点亮,急刹车的时候全部的LED闪烁,于是我选择了左循环的3个不同颜色的LED,右循环的3个LED的颜色和左循环的3个LED颜色一样,当循环点亮的时候很直观。

如图2.6所示。

D3左LED-REDD2左LED-GREEND1左LED-BLUER1(2)D3右LED-REDD2右LED-GREEND1右LED-BLUER112U1(P2.0/A8)图2.6 LED电路图6 其他部分的设计1)含有晶振的驱动的电路:没有驱动电路的话,也就是晶振不起振,那单片机就不能工作。

相关文档
最新文档