电子技术基础复习题及答案(DOC)

电子技术基础复习题及答案(DOC)
电子技术基础复习题及答案(DOC)

电子技术基础

、选择题:

1?在杂质半导体中,少子浓度主要取决于()

(A)掺入杂质的浓度、(B) 材料、(C) 温度

2 ?测得某PNP型三极管各极点位为:UB=-3V UE=-4V UC=-6V, 则该管工作于()

(A)放大状态、(B) 饱和状态、(C) 截止状态

3.在基本共射放大电路中,若更换晶体管使3值由50变为100,则电路的放大倍数()

(A)约为原来的1/2倍(B) 约为原来的2倍(C) 基本不变

4 .在OCL电路中,引起交越失真的原因是( )

(A)输入信号过大(B) 晶体管输入特性的非线性(C) 电路中有电容

5.差动放大器中,用恒流源代替长尾Re是为了(

(A)提高差模电压增益(B) 提高共模输入电压范围

(C)提高共模抑制比

6 .若A+B=A+C 贝^(

(A) B=C ; (B) B= C ; (C)在A=0的条件下,B=C

7 ?同步计数器中的同步是指(

(A)各触发器同时输入信号;(B)各触发器状态同时改变;

(C)各触发器受同一时钟脉冲的控制

&由NPNt组成的单管基本共射放大电路,输入信号为正弦波,输出电压出现顶部被削平的失真,这种失真是

(A)饱和失真(B) 截止失真(C) 频率失真

9.对PN结施加反向电压时,参与导电的是

(A)多数载流子(B)少数载流子(C) 既有多数载流子又有少数载流子

10?当温度增加时,本征半导体中的自由电子和空穴的数量

(A)增加(B)减少(C)不变

11?通用型集成运放的输入级采用差动放大电路,这是因为它的(

A、输入电阻高 B 、输出电阻低

C 、共模抑制比大

D 、电压放大倍数大

12.对于桥式整流电路,正确的接法是

13 .将代码(10000011)8421BCD 转换成二进制数为(

D.

17. 多谐振荡器有(

空穴浓度

A 、等于、大于、小于

B 、小于、等于、大于

C 、等于、小于、大于

19.稳压管构成的稳压电路, 其接法是(

A 、 (01000011)2

B 、(01010011)2

C 、(10000011)2

D 、 (000100110001)2

14. N 个变量的逻辑函数应该有最小项( A 、2n 个 B 、n2 个 C 、2n 个 D. (2n-1)个

15 ?函数F=AB +AB 转换成或非-或非式为 A 、A +B +A +B B 、A +B +A +B 、AB +AB D 、A +B +A +B

16?图示触发器电路的特征方程 Qn+1 =(

A.

—n — n

TQ +TQ n

B. T Q +TQn

C.

A 、两个稳定状态 、一个稳定状态, 一个暂稳态 C 、两个暂稳态

、记忆二进制数的功能

18?本征半导体电子浓度

空穴浓度,N 型半导体的电子浓度

空穴浓度,P 型半导体的电子浓度

A 、稳压二极管与负载电阻串联

B 、稳压二极管与负载电阻并联。

C 限流电阻与稳压二极管串联后,负载电阻再与稳压二极管并联 20.输入失调电压 UIO 是( A 、两个输入端电压之差

B 、输入端都为零时的输出电压

C 输出端为零时输入端的等效补偿电压。

22?下列逻辑电路中为时序逻辑电路的是( A 、变量译码器

B 、加法器

C 、数码寄存器

23. 下列关于异或运算的式子中不正确的是( A 、 A ? A =0 B 、 A ? A =1 C 、A ? 0=A 24. 下列门电路属于双极性的是( A 、OC 门 B 、PMOC C 、CMOC

25. 对于钟控RS 触发器,若要求其输出“ 0”状态不变,则输入的 RS 信号( A 、RS=X0 B 、RS=0X C 、RS=X1

二、填空题:

PN 结具有

三极管具有放大作用的外部条件是

F=A ? B 用与或式表示为

CMO$1电路中不用的输入端不允许

通过大电阻接地的输入端相当于

12.描述触发器逻辑功能的方法有

10.二进制数1100转换成十进制是 ,十六进制是

11. JK 触发器具有清0、置1、 四种功能。 13.设计一位BCD 码计数器,至少用

个触发器。

21?抑制温漂(零漂)最常用的方法是采用( )电路

A 、差放

B 、正弦

C 、数字

N 型半导体中多数载流子是

少数载流子是

特性。

集成运放的输入级一般采用 放大电路。

正弦波振荡的相位平衡条件是 幅度平衡条件是

电压串联负反馈可以稳定输出 并能使输入电阻

串联型稳压电源由基准、取样、

四部分组成。

、驱动表、状态转换图。

控制元件。

.视为开路,画交流通路时,藕合电容、旁路电容和直流电压视为

19.多级放大器的极间耦合方式有

21.功率管工作在甲乙类状态的目的是

27. TTL 电路的电源电压为 V , CMOS 电路的电源电压为

28 . 74LS138是3线一8线译码器,译码为输出低电平有效,若输入为 丫7丫6丫5丫4丫3丫2丫1丫0 应为

34.并联反馈的反馈量以

形式馈入输入回路,和输

入 相比较而产生净输入量。

阀值电位V —为

14.将数字信号转换成模拟信号,应采用 转

换。

15 .模/数转换过程为取样、 、量化与 16.利用半导体材料的某种敏感特性,如 特性和

特性,可以制成热敏电阻和光敏元件。

17.双极型三极管的电流放大作用是较小的

电流控制较大的

电流,所以双极型三极管是 20.理想运放的输出电阻是

,输入电阻是

22.在常温下,硅二极管的开启电压是 V 导通后在较大电流下时正向压降约 V O

23. RC 桥式振荡电路中, 3 0=1/RC 时,Fu= 24?石英晶体有 个谐振频率,当电路的工作频率大于 fs 而小于fp 时,晶体呈 25.如果对键盘上108个符号进行二进制编码,则至少要 位二进制数码。 26.在TTL 门电路的一个输入端与地之间接一个 10冏电阻,则相当于在该输入端输入 电平;在

CMOSI 电路的输入端与电源之间接一个 1?电阻,相当于在该输入端输入 电

平。

29.将一个包含有32768个基本存储单元的存储电路设计 16位为一个字节的 ROM 该 ROM 有 根地址

线。 30 ?能够实现“线与”的 TTL 门电路叫 31.差分放大电路,若两个输入信号 uI1=uI2,则输出电压,uO= 0 ;若

I1 =100", u 12=80 A V

则差模输入电压uld= 20 M V ;共模输入电压ulc= 90 A Vo 32?逻辑电路按其输出信号对输入信号响应的不同,可以分为 两大类。

33.二极管的最主要特性是

,它的两个主要参数是反映正向特性的

和反映反向特性的

35 ?电源电压为+18V 的555定时器,接成施密特触发器,则该触发器的正向阀值电位

V+为

,负向

18.画放大器直流通路时, A2A1A0=110 时,输出

三、判断(每题1分,共10 分)

1.稳压二极管稳压电路中,限流电阻可以取消。

2.半导体中多数载流子的浓度是由掺杂浓度决定的

3.反馈具有稳定输出量的作用。

4.在运算放大器的运用电路中,若存在反馈则运放工作在线性状态。

5?某放大器不带负载时的输出电压为 1.5V,带上RL=5KQ的负载后,测得输出电压下降为

1V,则放大器的输出电阻RO=2.5KQ 。(

6.差动放大电路中,恒流源的作用是提高共模抑制比(

7.在基本放大器的图解分析中,若负载断开时,则交流负载线和直流负载线重合。

&放大电路的A<0,说明接入的一定是负反馈。

9 ?最小项是构成逻辑函数的最小单元。(

10.TTL与非门多余的输入端不容许接地。

11.硅稳压管稳压是利用二极管的反向截止区。

12.负反馈电路是不可能产生自激振荡的。

13.双、单极型三级管都是电流控制元件。

14 ?三级管只要工作在线性放大区就有Vc>Vb>Ve

15.三级管放大电路中,加入Re 一定可稳定输出电流。

16.正弦波振荡电路的起振条件是I A F 1=1 o

17. TTL或门电路不使用的输入端可以接地。

18.CMO逻辑与门电路,多余的输入端可以悬空。

19.CMO传输门,具有双向传输特性。

20 .在基本放大器的图解分析中,若负载断开时,则交流负载线和直流负载线重合

四、简答题

1?已知三极管工作在线性放大区,各极对地电位如图所示: (6

分)

1) 试在图中补全三极管的符号,并标出b,c,e极;

2) 三极管的材料为(硅管还是锗

管);

3) 三极管的管型为(NPN还是PNP。

U^o o (5 分

)

2.已知下图中,二极管为理想器件,判断两个二极管是导通还是截止,并求

4 .用基本公式和定理证明下列等式成立

AB + AB + A B + A B = AB + ABC + A(B + AB)

-A "B ■C+ ABC=^ S¥AC+£ C AB+BC+CA=(A+B)*(B+C)*(C+A)

AB +BC + CA = AB +BC +CA

5 .求下列函数的对偶式 Y 及反函数 丫

(1) Y=A( B+Q (2) 丫 =AB +CD (3) Y=A 中+才C+C( TA+D )

6 .用公式法将下列函数化简成最简与或表达式

(1) Y= W B C+ -ABC+ ABC + AB"^

3 .判断反馈类型(正负反馈、串并联反馈、电压电流反馈、交直流反馈) (1)判断下图中反馈元件 Rf 的反馈类型(8分)

(b)

(2)判断下图

(b

R 的反馈类型(6分)

C

电子技术基础考试试题及参考答案

电子技术基础考试试题及参考答案 试题 一、填空题(每空1分,共30分) 1.硅二极管的死区电压为_____V,锗二极管的死区电压为_____V。 2.常用的滤波电路主要有_____、_____和_____三种。 3.晶体三极管的三个极限参数为_____、_____和_____。 4.差模信号是指两输入端所施加的是对地大小_____,相位_____的信号电压。 5.互补对称推挽功率放大电路可分成两类:第一类是单电源供电的,称为_____电路,并有_____通过负载输出;第二类是双电源供电的,称为_____电路,输出直接连接负载,而不需要_____。 6.功率放大器主要用作_____,以供给负载_____。 7.集成稳压电源W7905的输出电压为_____伏。 8.异或门的逻辑功能是:当两个输入端一个为0,另一个为1时,输出为_____;而两个输入端均为0或均为1时,输出为_____。 9.(1111)2+(1001)2=( _____ )2(35)10=( _____ )2 (1010)2–(111)2=( _____ )2(11010)2=( _____ )10 (1110)2×(101)2=( _____ )2 10.逻辑函数可以用_____、_____、_____等形式来表示。 11.组合逻辑电路包括_____、_____、_____和加法器等。 二、判断题(下列各题中你认为正确的,请在题干后的括号内打“√”,错误的打“×”。全打“√”或全打“×”不给分。每小题1分,共10分) 1.放大器采用分压式偏置电路,主要目的是为了提高输入电阻。() 2.小信号交流放大器造成截止失直的原因是工作点选得太高,可以增大R B使I B减小,从而使工作点下降到所需要的位置。() 3.对共集电极电路而言,输出信号和输入信号同相。() 4.交流放大器也存在零点漂移,但它被限制在本级内部。() 5.同相运算放大器是一种电压串联负反馈放大器。() 6.只要有正反馈,电路就一定能产生正弦波振荡。() 7.多级放大器采用正反馈来提高电压放大倍数。() 8.TTL集成电路的电源电压一般为12伏。() 9.流过电感中的电流能够突变。() 10.将模拟信号转换成数字信号用A/D转换器,将数字信号转换成模拟信号用D/A转换器。() 三、单选题(在本题的每小题备选答案中,只有一个答案是正确的,请把你认为正确答案的代号填入题干后的括号内,多选不给分。每小题2分,共26分) 1.用万用表测得某电路中的硅二极管2CP的正极电压为2V,负极电压为1.3V,则此二极管所处的状态是() A.正偏B.反偏C.开路D.击穿 2.放大器的三种组态都具有() A.电流放大作用B.电压放大作用 C.功率放大作用D.储存能量作用 3.下列各图中,三极管处于饱和导通状态的是()

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

电子技术基础期末考试考试题及答案

电子技术基础期末考试考试题及答 案 部门: xxx 时间: xxx 整理范文,仅供参考,可下载自行编辑

触发器,输入信号=0,A.Q=0 B.Q=0C.=0 D.=1脉冲作用下, A.1 B.D C.0 D. 9.下图所示可能是鈡控同步RS 触发器真值表的是<) 10.电路如下图所示,若初态都为0,则的是<) 11.五位二进制数能表示十进制数的最大值是<) A.31B.32C.10 D.5 12.n 个触发器可以构成最大计数长度为的计数器<) A.n B.2n C.n2 D.2n 13.一个4位二进制加法计数器起始状态为0010,当最低位接收到10个脉冲时,触发器状态为<) A.0010 B.0100 C.1100 D.1111 14.下图所示的电路中,正确的并联型稳压电路为<) 15.在有电容滤波的单相桥式整流电路中,若要使输出电压为60V ,则变压器的次级电压应为<) A.50VB.60VC.72VD.27V 二、判断题<本大题共5小题,每小题3分,共15分)<对打√,错打×) 16.P 型半导体中,多数载流子是空穴< ) 17.环境温度升高时,半导体的导电能力将显著下降< ) 18.二极管正偏时,电阻较小,可等效开关断开<) 19.稳压二极管工作在反向击穿区域<) 20.光电二极管是一种把电能转变为光能的半导体器件<)

注:将 选择题 和判断 题答案 填写在 上面 的表 格 里, 否则 该题不得分 三、填空题<本大题共5小题,每小题4分,共20分) 21.JK触发器可避免RS触发器状态出现。与RS触发器比较,JK触发器增加了功能; 22.寄存器存放数码的方式有和两种方式; 23.二极管的伏安特性曲线反映的是二极管的关系曲线; 24.常见的滤波器有、和; 25.现有稳压值为5V的锗稳压管两只,按右图所示方法接入电路,则 V0=。 四、应用题<本大题共3小题,共35分,要求写出演算过程) 26.<10分)某JK触发器的初态Q=1,CP的下降沿触发,试根据下图所示的CP、J、K的波形,画出输出Q 和的波形。RTCrpUDGiT 27.<9分)如下图所示电路,测得输出电压只有0.7V,原因可能是: <1)R开路;<2)RL开路;<3)稳压二极管V接反; <4)稳压二极管V短路。应该是那种原因,为什么? 28.<16分)分析下图所示电路的工作原理,要求: <1)列出状态表,状态转换图; <2)说明计数器类型。 参考答案及评分标准 一、单项选择题<本大题共15小题,每小题2分,共30分) 二、判断题<本大题共5小题,每小题3分,共15分) 三、填空题<本大题共5小题,每小题4分,共20分) 21.不确定,翻转22.并行和串行 23.VD-ID24.电容、电感、复式25.5.3V 四、应用题<本大题共3小题,共30分,要求写出演算过程) 26. 27.解:稳压二极管V接反,变成正向偏置,稳压二极管正向导通时,压降是0.7V 28.解:计数前,各触发器置0,使Q2Q1Q0=000

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

模拟电子技术基础考试试题答案-(1)

第1页 共5页 一、填空(共20空,每空 1 分,共 20 分,所有答案均填写在答题纸上) 1、场效应管被称为单极型晶体管是因为 。 2、晶体三极管的输出特性可分三个区域,当三极管工作在 区时, b I Ic β<。 3、场效应管可分为 型场效应管和结型场效应管两种类型。 4、在由晶体管构成的单管放大电路的三种基本接法中,共 基本放大电路只能放大电压不能放大电流。 5、在绘制电子放大电路的直流通路时,电路中出现的 视为开路, 视为短路,信号源可视为为短路但应保留其内阻。 6、多级放大电路级间的耦合方式有直接耦合、阻容耦合、 和 耦合等。 7、晶体管是利用 极电流来控制 极电流从而实现放大的半导体器件。 8、放大电路的交流通路用于研究 。 9、理想运放的两个输入端虚断是指 。 10、为判断放大电路中引入的反馈是电压反馈还是电流反馈,通常令输出电压为零,看反馈是否依然存在。若输出电压置零后反馈不复存在则为 。 11、仅存在于放大电路的交流通路中的反馈称为 。 12、通用集成运放电路由 、 、输出级和偏置电路四部分组成。 13、如果集成运放的某个输入端瞬时极性为正时,输出端的瞬时极性也为正,该输入端是 相输入端,否则该输入端是 相输入端。 14、差分放大电路的差模放大倍数和共模放大倍数是不同的, 越大越好, 越小越好。 二、单项选择题(共10题,每题 2 分,共 20分;将正确选项的标号填在答题纸上) 1、稳压二极管如果采用正向接法,稳压二极管将 。 A :稳压效果变差 B :稳定电压变为二极管的正向导通压降 C :截止 D :稳压值不变,但稳定电压极性发生变化 2、如果在PNP 型三极管放大电路中测得发射结为正向偏置,集电结正向偏置,则此管的工作状态为 。 A :饱和状态 B :截止状态 C :放大状态 D :不能确定 3、测得一放大电路中的三极管各电极相对一地的电压如图1所示,该管为 。 A : PNP 型硅管 B :NPN 型锗管 C : NPN 型硅管 D :PNP 型锗管 7V 0.7V 0V ① ② ③

电子技术基础试题

。电子技术基础试题库(第四版) 第一章:半导体二极管 一、填空题 1、根据导电能力来衡量,自然界的物质可以分为______________、__________和__________三类。 导体、绝缘体、半导体 2、PN节具有__________特性,即加正向压时__________,加反向压时__________。 单向导电特性、导通、截止 3、硅二极管导通时的正向管压降约__________V,锗二极管导通时的正向管压降约__________V。 、 4、使用二极管时,应考虑的主要参数是__________、__________。 最大整流电流、最高反向工作电压 5、在相同的反向电压作用下,硅二极管的反向饱和电流常__________于锗二极管的反向饱和电流,所以硅二极管的热稳定性较__________ 小、好 6、根据导电能力来衡量,自然界的物质可分为_______ 、_________和__________三类。导体, 绝缘体,半导体 7、PN结具有_____________性能,即加正向电压时PN结________,加反向电压时的PN结 _________。单向导电性,导通,截止 二,判断题 1、半导体随温度的升高,电阻会增大。()N 2、二极管是线性元件。()N 3、不论是哪种类型的半导体二极管,其正向电压都为0.3V左右。()N 4、二极管具有单向导电性。()Y 5、二极管的反向饱和电流越大,二极管的质量越好。()N 6、二极管加正向压时一定导通()N 7、晶体二极管是线性元件。()N 8、一般来说,硅晶体二极管的死区电压小于锗晶体二极管的死区电压。()Y 三、选择题 1、PN结的最大特点是具有()C A、导电性B、绝缘性C、单相导电性 2、当加在硅二极管两端的正向电压从0开始逐渐增加时,硅二极管()C A、立即导通B、到0.3V才开始导通C、超过死区压才开始导通D、不导通 3、当环境温度升高时,二极管的反向电流将()A A、增大B、减少C、不变D、先变大后变小 4、半导体中传导电流的载流子是()。C A、电子 B、空穴 C、电子和空穴 5、P型半导体是()B A、纯净半导体 B、掺杂半导体 C、带正电的 四、综合题

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

模拟电子技术基础试题汇总

模拟电子技术基础试题汇总 一.选择题 1.当温度升高时,二极管反向饱和电流将( )。 A 增大 B 减小 C 不变 D 等于零 2. 某三极管各电极对地电位如图所示,由此可判断该三极管( ) A. 处于放大区域 B. 处于饱和区域 C. 处于截止区域 D. 已损坏 3. 某放大电路图所示.设V CC>>V BE, L CEO≈0,则在静态时该三极管处于( ) A.放大区 B.饱和区 C.截止区 D.区域不定 4. 半导体二极管的重要特性之一是( )。 ( A)温度稳定性( B)单向导电性( C)放大作用( D)滤波特性 5. 在由NPN型BJT组成的单管共发射极放大电路中,如静态工作点过高,容易产生 ( )失真。 ( A)截止失真( B)饱和v失真( C)双向失真( D)线性失真 6.电路如图所示,二极管导通电压U D=,关于输出电压的说法正确的是( )。 A:u I1=3V,u I2=时输出电压为。 B:u I1=3V,u I2=时输出电压为1V。 C:u I1=3V,u I2=3V时输出电压为5V。 D:只有当u I1=,u I2=时输出电压为才为1V。 7.图中所示为某基本共射放大电路的输出特性曲线,静态工作点由Q2点移动到Q3点可能的原因是。 A:集电极电源+V CC电压变高B:集电极负载电阻R C变高 C:基极电源+V BB电压变高D:基极回路电阻R b变高。

8. 直流负反馈是指( ) A. 存在于RC 耦合电路中的负反馈 B. 放大直流信号时才有的负反馈 C. 直流通路中的负反馈 D. 只存在于直接耦合电路中的负反馈 9. 负反馈所能抑制的干扰和噪声是( ) A 输入信号所包含的干扰和噪声 B. 反馈环内的干扰和噪声 C. 反馈环外的干扰和噪声 D. 输出信号中的干扰和噪声 10. 在图所示电路中,A 为理想运放,则电路的输出电压约为( ) A. - B. -5V C. - D. - 11. 在图所示的单端输出差放电路中,若输入电压△υS1=80mV, △υS2=60mV ,则差模输 入电压△υid 为( ) A. 10mV B. 20mV C. 70mV D. 140mV 12. 为了使高内阻信号源与低阻负载能很好地配合,可以在信号源与低阻负载间接入 ( )。 A. 共射电路 B. 共基电路 C. 共集电路 D. 共集-共基串联电路 13. 在考虑放大电路的频率失真时,若i υ为正弦波,则o υ( ) A. 有可能产生相位失真 B. 有可能产生幅度失真和相位失真 C. 一定会产生非线性失真 D. 不会产生线性失真 14. 工作在电压比较器中的运放与工作在运算电路中的运放的主要区别是,前者的运 放通常工作在( )。 A. 开环或正反馈状态 B. 深度负反馈状态 C. 放大状态 D. 线性工作状态 15. 多级负反馈放大电路在( )情况下容易引起自激。 A. 回路增益F A &&大 B 反馈系数太小

电子技术基础复习题与答案

中南大学网络教育课程考试(专科)复习题及参考答案 电子技术基础 一、选择题: 1.在杂质半导体中,少子浓度主要取决于( ) (A) 掺入杂质的浓度、 (B) 材料、 (C) 温度 2.测得某PNP型三极管各极点位为:UB=-3V UE=-4V UC=-6V,则该管工作于( ) (A) 放大状态、 (B) 饱和状态、 (C) 截止状态 3.在基本共射放大电路中,若更换晶体管使β值由50变为100,则电路的放大倍数( ) (A) 约为原来的1/2倍 (B) 约为原来的2倍 (C) 基本不变 4.在OCL电路中,引起交越失真的原因是( ) (A) 输入信号过大 (B) 晶体管输入特性的非线性 (C) 电路中有电容 5.差动放大器中,用恒流源代替长尾R e是为了( ) (A) 提高差模电压增益 (B) 提高共模输入电压围 (C) 提高共模抑制比 6.若A+B=A+C,则() (A) B=C; (B) B=C;(C)在A=0的条件下,B=C 7.同步计数器中的同步是指() (A)各触发器同时输入信号;(B)各触发器状态同时改变; (C)各触发器受同一时钟脉冲的控制 8.由NPN管组成的单管基本共射放大电路,输入信号为正弦波,输出电压出现顶部被削平的失真,这种失真是() (A)饱和失真(B)截止失真(C)频率失真 9.对PN结施加反向电压时,参与导电的是() (A)多数载流子(B)少数载流子(C)既有多数载流子又有少数载流子 10.当温度增加时,本征半导体中的自由电子和空穴的数量() (A)增加(B)减少(C)不变 11.通用型集成运放的输入级采用差动放大电路,这是因为它的() A、输入电阻高 B、输出电阻低 C、共模抑制比大 D、电压放大倍数大 12.对于桥式整流电路,正确的接法是( )

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

模拟电子技术基础考试试题答案

一、填空(共20空,每空 1 分,共 20 分,所有答案均填写在答题纸上) 1、晶体管三极管被称为双极型晶体管是因为 。 2、晶体三极管的输出特性可分三个区域,只有当三极管工作在 区时,关系式b I Ic β=才成立。 3、场效应管可分为结型场效应管和 型场效应管两种类型。 4、在由晶体管构成的单管放大电路的三种基本接法中,共 基本放大电路既能放大电流又能放大电压。 5、在绘制放大电路的交流通路时, 视为短路, 视为短路,但若有内阻则应保留其内阻。 6、多级放大电路级间的耦合方式有 、 、变压器耦合和光电耦合等。 7、场效应管是利用 极和 极之间的电场效应来控制漏极电流从而实现放大的半导体器件。 8、放大电路的直流通路用于研究 。 9、理想运放的两个输入端虚短是指 。 10、为判断放大电路中引入的反馈是电压反馈还是电流反馈,通常令输出电压为零,看反馈是否依然存在。若输出电压置零后反馈仍然存在则为 。 11、仅存在于放大电路的直流通路中的反馈称为 。 12、通用集成运放电路由输入级、中间级、 和 四部分组成。 13、集成运放的同相输入端和反相输入端中的“同相”和“反相”是指运放的 和 的相位关系。 14、在学习晶体三极管和场效应管的特性曲线时可以用类比法理解,三极管的放大工作区可与场效应管的 区相类比,而场效应管的可变电阻区则可以和三极管的 相类比。 二、单项选择题(共10题,每题 2 分,共 20分;将正确选项的标号填在答题纸上) 1、稳压二极管的反向电流小于min z I 时,稳压二极管 。 A :稳压效果变差 B :仍能较好稳压,但稳定电压变大 C :反向截止 D :仍能较好稳压,但稳定电压变小 2、如果在PNP 型三极管放大电路中测得发射结为正向偏置,集电结反向偏置,则此管的工作状态为 。 A :饱和状态 B :截止状态 C :放大状态 D :不能确定 3、已知两只晶体管的电流放大系数β分别为50和100,现测得放大电路中这两只管子两个电极的电流如图1所示。关于这两只三极管,正确的说法是 。

电子技术基础试题及答案

电子技术基础试卷 一、填空题(20分) 1、______电路和_______电路是两种最基本的线性应用电路。 2、晶体二极管具有_______特性。 3、放大电路的分析方法有______和小信号模型分析法。 4、BJT的主要参数是__________。 5、带宽和________是放大电路的重要指标之一。 6、处理模拟信号的电子电路称为_______。 7、把整个电路中的元器件制作在一块硅基片上,构成特定功能的电子电路称为_____电路。 8、在电子电路中反馈按极性不同可分为______和_______两种。 9、判断一个放大电路中是否存在反馈,只要看该电路的输出回路与输入回路之间是否存在反馈网络,即________。 10、负反馈放大电路有四种类型:___________、 ___________、___________以及___________放大电路。 11、放大电路的实质都是_______电路。 12、放大电路可分为四种类型:_______、_______、_______和_______。 二、判断题(1—5题每题2分,6—15题每题1分,共20分) 1、图示中 R引人电压并联负反 2 图题1 2、图示中 R电流串联正反馈 e1 图题2

3、图示电路不能振荡 图题3 4、图示电路不能振荡 图题4 5、图示电路中T 1为共基极组态,T 2 为共集电极组态 图题5 6、PN结的单向导电性关键在于它的耗尽区的存在,且其宽度随外加电压而变化。 7、齐纳二极管是一种特殊二极管。 8、BJT有NPN和PNP两种类型。 9、图解法能分析信号幅值太小或工作频率较高湿的电路工作状态。 10、MOS器件主要用于制成集成电路。 11、差分放大电路中共模电压增益越小,说明放大电路的性能越好。 12、放大电路中的内部噪声与放大电路中个元器件内部载流子运动的不规则无关。 13、放大电路中直流反馈不影响静态工作点。 14、负反馈能够改善放大电路的多方面性能是由于将电路的输出量引回到输入端与输入量进行比较,从而随时对输出量进行调整。 15、在实际应用的放大电路中很少引人负反馈。 三、计算题(1题12分,2题13分,3题15分,共40分) 1、设计一反相加法器,使其输出电压V0= -7V i1+14V i2+3.5V i3+10V i4),允许使用的最大电阻为280kΩ,求各支路电阻。

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

模拟电子技术基础试题汇总附有答案.

模拟电子技术基础试题汇总 1.选择题 1.当温度升高时,二极管反向饱和电流将 ( A )。 A 增大 B 减小 C 不变 D 等于零 2. 某三极管各电极对地电位如图所示,由此可判断该三极管( D ) A. 处于放大区域 B. 处于饱和区域 C. 处于截止区域 D. 已损坏 3. 某放大电路图所示.设V CC>>V BE, L CEO≈0,则在静态时该三极管 处于( B ) A.放大区 B.饱和区 C.截止区 D.区域不定 4. 半导体二极管的重要特性之一是( B )。 ( A)温度稳定性 ( B)单向导电性 ( C)放大作用 ( D)滤波特性 5. 在由NPN型BJT组成的单管共发射极放大电路中,如静态工 作点过高,容易产生

( B )失真。 ( A)截止失真( B)饱和v失真( C)双向失真( D)线性失真 6.电路如图所示,二极管导通电压U D=0.7V,关于输出电压的说法正确的是( B )。 A:u I1=3V,u I2=0.3V时输出电压为3.7V。 B:u I1=3V,u I2=0.3V时输出电压为1V。 C:u I1=3V,u I2=3V时输出电压为5V。 D:只有当u I1=0.3V,u I2=0.3V时输出电压为才为1V。 7.图中所示为某基本共射放大电路的输出特性曲线,静态工作点由Q2点移动到Q3点可 能的原因是 。 A:集电极电源+V CC电压变高B:集电极负载电阻R C变高 C:基极电源+V BB电压变高D:基极回路电阻 R b变高。

8. 直流负反馈是指( C ) A. 存在于RC耦合电路中的负反馈 B. 放大直流信号时才有的负反馈 C. 直流通路中的负反馈 D. 只存在于直接耦合电路中的负反馈 9. 负反馈所能抑制的干扰和噪声是( B ) A 输入信号所包含的干扰和噪声 B. 反馈环内的干扰和噪声 C. 反馈环外的干扰和噪声 D. 输出信号中的干扰和噪声 10. 在图所示电路中,A为理想运放,则电路的输出电压约为( A ) A. -2.5V B. -5V C. -6.5V D. -7.5V 11. 在图所示的单端输出差放电路中,若输入电压△υS1=80mV, △υS2=60mV,则差模输 入电压△υid为( B ) A. 10mV B. 20mV C. 70mV D. 140mV 12. 为了使高内阻信号源与低阻负载能很好地配合,可以在信 号源与低阻负载间接入 ( C )。 A. 共射电路 B. 共基电路

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

相关文档
最新文档