多功能信号发生器设计报告.doc
电子技术课程设计-多功能信号发生器-报告

-3-
1 引言
信号发生器广泛应用于各种电子技术领域,也是电子实验室的基本设备之一。在各类 学校实验室里广泛使用的是信号发生器的标准产品,虽然其功能齐全,性能指标较高,但 是价格昂贵,同时对于要求不高的实验环境,其许多功能也用不上。本设计采用集成函数 信号发生器 MAX038 而设计的简易多功能信号发生器,该电路结构简单,虽然功能和性能 指标比不上标准信号发生器,但基本可以满足一般的实验要求,且成本相对较低。
关键词: 函数;信号;发生器;MAX038;正弦波;方波;三角波
-2-
目
录
摘要. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1 1 2 引言. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4 多功能信号发生器 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4 2.1 2.2 设计要求. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 集成函数信号发生器 MAX038. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 2.2.1 MAX038 的性能特点. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4 2.2.2 MAX038 引脚功能. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5 2.3 2.4 2.2.3 MAX038 工作原理. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6 设计框图. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 各单元电路设计. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 2.4.1 MAX038 接口电路. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7 2.4.2 2.4.3 2.4.4 2.4.5 3 4 波形选择单元电路. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8 频段选择单元电路. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9 频率粗调-频率微调-15%~85%占空比调节-50%占空比精调单元电路. . .10 输出幅值放大调节单元电路. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13
简易多功能信号发生器的电路设计

学士学位论文简易多功能信号发生器的电路设计姓名:***学号:20080613****指导教师:**院系(部所):机电工程学院专业:过程装备与控制工程完成日期:20**年05月10日学士学位论文简易多功能信号发生器的电路设计姓名:***学号:20080613****指导教师:**院系(部所):机电工程学院专业:过程装备与控制工程完成日期:20**年05月10日摘要信号发生器是一种能产生模拟电压波形的设备,这些波形能够校验电子电路的设计。
信号发生器广泛用于电子电路、自动控制系统和教学实验等领域。
本次设计的智能信号发生器,是基于ATMEL生产的AT89C51内核的信号发生器,创新点:1.可产生正弦波、方波,锯齿波及三角波。
2.各种信号的频率范围为10HZ-24MHZ,本信号发生器既可实现产生低频信号,也可实现产生高频信号,产生的信号为特定频率信号。
3.硬件主要由单片机和数模转换器ICL0838构成,电路简单,结构紧凑,硬件成本较低。
4.波形及其参数可以由软件来设定,用C51语言来编译程序,让编译变得比较简单。
本论文首先对信号发生器进行了概述,然后介绍了单片机的硬件和软件知识。
随着集成制造技术的不断发展,多功能信号发生器已被制作成为专用集成电路。
该集成电路使用起来比较方便,调试相对简单,性能更加稳定,可以产生三角波、方波和正弦波。
ICL8038就是如此,简单的外围电路就可以实现三种波形的输出。
关键词:信号发生器;ICL8038;单片机;原理图;源程序AbstractSignal generator is a kind of can produce simulation of the voltage waveform equipment, these waveform can check electronic circuit design. Signal generator are widely used in electronic circuits, automatic control system and teaching experiment, etc.This design design intelligent signal generator, is based on the ATMEL production AT89C51 kernel signal generator[7], its characteristics are as follows: 1. Where can produce sine wave and square-wave, serrated affected triangle wave. 2. Various signal frequency range for 10HZ~24MHZ, this signal generator - not only achieves produce low-frequency signals, also can achieve produce high-frequency signal, a signal for particular frequency signal. 3. Hardware mainly by single-chip microcomputer and digital-to-analog converters ICL0838 constitute, circuit is simple, compact structure, hardware cost is low. 4. Waveform and its parameters can be set by software, procedures, c51 language compiled by compile is simple.This paper firstly summarized the signal generator, then introduces microcontroller hardware and software knowledge.With integrated manufacturing technology unceasing development, multi-function signal generator has been making become convenient,commissioning simple, stable performance, it not only can produce sine wave, also can produce triangle wave and square-wave. ICL8038 is one kind, use this chip simply peripheral circuit can realize three waveform output.Keywords: signal generator; ICL0838; microcontroller; schematic; source program目录第1章绪论 (1)1.1课题背景 (1)1.2课题意义 (2)1.3国内外的研究状况 (2)第2章低频信号发生器的设计方案 (4)2.1 总体方案论证与设计 (4)2.2基于5G8038信号发生器的工作原理 (4)2.3 5G8038的性能特点 (6)2.4振荡频率的计算 (7)2.5 电路参数设计 (8)第3章多功能信号发生器的设计 (10)3.1多功能信号发生器的原理图及PCB图的设计 (10)3.2 PROTEL99SE的PCB的生成 (12)3.3 PCB板的制作及遇到的问题 (13)3.4芯片安全电路检查 (13)第4章多功能信号发生器的制作与调试 (15)4.1 多功能信号发生器的制作 (15)4.2 成品的调试与故障排除 (15)4.2.1调试前的准备工作 (15)4.2.2成品调试参数 (15)第5章设计总结 (18)5.1 结论 (18)5.2总结 (18)参考文献 (19)致谢 (21)第1章绪论1.1课题背景具有广泛需求的各类信号发生器和电子技术的飞速发展,促进了信号发生器在电子测量及许多相关部门的发展,其性能也是不断地改善。
多功能信号发生器报告

文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持.信息与控制工程学院硬件课程设计说明书多功能信号发生器设计学生学号:学生姓名:专业班级:计算0701指导教师:刘刚职称:副教授起止日期:2010.04.05~2010.04.23吉林化工学院Jilin Institute of Chemical Technology1文档来源为:从网络收集整理.word版本可编辑.文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持.课程设计任务书一、设计题目:多功能信号发生器设计二、设计目的:1.学习MSP430F169单片机硬件结构及其程序设计方法,MAX038器件使用方法。
2.掌握MSP430F169系统的设计方法。
3.掌握SPWM信号发生器设计方法。
4.掌握正弦波、三角波信号产生方法。
5.掌握直流稳压电源设计方法。
三、设计任务及要求1.学习MSP430F169单片机等器件的工作原理及各引脚的说明,掌握MSP430F169单片机系统的工作原理和设计方法。
利用MSP430F169等元器件完成单片机系统设计和焊装、调试。
2.使用MAX038芯片实现正弦、三角波信号产生器和单片机测频电路、程序设计。
3.完成基于MSP430F169单片机的SPWM程序设计和SPWM驱动电路硬件焊装、调试。
四、设计时间及进度安排:设计时间共三周(2010.04.06~2010.04.24),具体安排如下表:I文档来源为:从网络收集整理.word版本可编辑.文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持.目录课程设计任务书 ···················································································错误!未定义书签。
多功能信号发生器课程设计报告

河南理工大学《单片机应用与仿真训练》设计报告多功能信号发生器设计姓名:张冬波张立中学号:310808010425 310808010426专业班级:电气08-4指导老师:刘巍所在学院:电气工程与自动化学院2011年6月28 日摘要本设计采用基于AT89S52的单片机最小系统为核心,成功产生出幅值和频率都可调的正弦波、梯形波、方波、三角波等波形。
频率范围是0-2000Hz,幅值调节范围-10V到+10V。
本系统主要由四大模块组成:液晶显示模块、波形发生模块及稳幅输出模块,幅频调节模块、及外部电源模块。
各个模块的实现方法如下:一、液晶显示模块:本系统采用应用较广泛的1602液晶作为显示模块。
其显示与控制机理是单片机通过与液晶按照一定的规定相连接,然后再程序中在对液晶进行初始化后,就可以向其写字符或读字符。
二、波形发生模块及稳幅输出模块:产生指定波形可以通过DAC芯片来实现,不同波形产生实质上是对输出的二进制数字量进行相应改变来实现的。
本系统采用的是经典的DAC0832 8位数/模转换器。
稳幅输出则通过两个LM324集成运放来实现对DAC0832输出电流信号到电压信号的转变。
三、幅频调节模块:通过按键与两个门电路74ls00和74ls04的组合来实现通过产生中断来实现对波形的选择和频率的调节。
而幅值调节通过一个10K的电位器来实现参考电压Vref的改变来改变幅值。
四、外部电源模块:变压器将220V交流电降成16V交流后在通过整流桥经过7812和7912滤波后即产生正负12V直流电用作LM324的电源。
本系统软件主要通过C语言开发,硬件电路设计具有典型性。
同时,本系统中任何一部分电路模块均可移植于其它实用开发系统的设计中,电路设计实用性很强。
目录1、概述 (4)1.1 信号发生器现状 (4)1.2 单片机在波形发生器中的应用 (4)2、系统总体方案及硬件设计 (5)2.1 系统分析 (5)2.2 总体方案设计 (6)2.2.1系统总体结构框图设计 (6)2.3 总体硬件设计 (6)2.4系统各模块设计 (7)2.4.1 资源分配 (7)2.4.2显示器接口设计 (7)2.4.3 复位与时钟电路设计 (8)2.4.4 按键中断电路设计 (10)2.4.5 D/A转换电路设计 (10)3、软件设计 (15)3.1软件总体设计 (15)3.2 软件功能设计 (16)3.2.1系统初始化程序设计 (16)3.2.2 按键检测及中断处理程序 (16)3.2.3 液晶显示程序 (17)3.2.4 正弦波发生程序设计 (19)3.2.5方波产生程序 (20)3.2.6三角波产生程序 (20)3.2.7梯形波产生程序 (21)4、实验仿真 (22)4.1 protues软件仿真步骤 (22)4.2 仿真结果 (23)4.3仿真结论 (25)5、课程设计体会 (26)参考文献 (27)附1:源程序代码 (28)附2:系统原理图 (35)1、概述1.1 信号发生器现状. 目前,市场上的信号发生器多种多样,一般按频带分为超高频、高频、低频、超低频、超高频信号发生器。
信号发生器设计与实现实验报告

信号发生器设计与实现实验报告实验报告:信号发生器的设计与实现一、引言信号发生器是一种能够产生各种类型的电信号的仪器,广泛应用于电子测量、通信系统调试、音频设备测试等领域。
本实验旨在设计并实现一个简单的信号发生器,以产生多种类型的电信号,并对其进行相应的测试和分析。
二、设计与实现1. 设计思路信号发生器的设计主要包括以下几个方面的考虑:信号类型的选择、频率范围的确定、输出幅度的调节以及相关控制电路的设计。
在信号类型的选择上,常见的信号类型有正弦波、方波、三角波等。
根据实际需求,本实验选择了正弦波和方波两种信号类型进行设计。
频率范围的确定需要考虑实际应用中最低和最高频率的要求。
在本实验中,我们选择了10Hz到10kHz的频率范围。
输出幅度的调节可以通过控制信号发生器的增益来实现。
本实验采用了可调电阻来控制输出信号的幅度。
相关控制电路的设计包括频率选择电路、幅度调节电路等。
这些电路的设计需要根据信号发生器的具体要求进行选择和设计。
2. 电路设计2.1 正弦波发生电路正弦波发生电路的设计采用了著名的Wien桥电路。
这个电路能够通过调节电容和电阻的比例来产生不同频率的正弦波信号。
2.2 方波发生电路方波发生电路的设计采用了555定时器作为主要的控制元件。
通过控制555的触发电平和放电电平,可以产生不同频率的方波信号。
3. 系统实现根据上述设计思路和电路设计,我们完成了信号发生器的系统实现。
通过逐步调试和优化,确保了系统的正常运行和性能的稳定。
三、实验结果与分析1. 正弦波信号测试通过将信号发生器接入示波器,我们成功地产生了频率为1kHz的正弦波信号。
通过示波器的显示,我们可以清晰地观察到正弦波的周期、幅度和波形等特征。
2. 方波信号测试通过将信号发生器接入示波器,我们成功地产生了频率为5kHz的方波信号。
通过示波器的显示,我们可以清晰地观察到方波的上升时间、下降时间和占空比等特征。
四、实验总结通过本次实验,我们设计并实现了一个简单的信号发生器,能够产生正弦波和方波两种类型的信号。
电子电路课程设计报告材料多功能信号发生器课程设计报告材料

《电子电路设计与实践》课程设计报告设计题目:多功能信号发生器所属学院:电子信息工程学院专业:电子设计自动化班级:10级电子设计自动化1班学号:指导教师:完成日期:2012年12月10日目录一.课程设计目的二.设计任务和要求三.设计进度四.总体方案五.电路设计六.调试过程七.心得体会一.课程设计目的为了熟悉掌握电子电路设计与实践这门课程的具体容、锻炼自己的实际操作能力,特按课程要求设计一个能产生正弦波、方波、三角波的信号发生器。
二.设计任务和要求设计一个能产生正弦波、方波和三角波的信号发生器,要求如下:◆输出频率f=20Hz~5kHz连续可调的正弦波、方波和三角波。
◆输出正弦波幅度V0=0~5V可调,波形非线性失真系数≤5%。
◆输出三角波幅度V0=0~5V可调。
◆输出方波幅度可在0~12V之间可调。
三.设计进度第十周确定课程设计题目;第十一周确定课程设计的总体方案;第十二周设计出电路图,确定各个元器件的型号;第十三周检测各个元器件是否完好;第十四周焊接电路;第十五周调试电路,准备完成课程设计报告。
四.总体方案随着集成制造技术的不断发展,多功能信号发生器已被制作成专用集成电路。
该集成电路使用方便,调试简单,性能稳定,它不仅能产生正弦波,同时还能产生三角波和方波。
5G8038就是其中的一种。
它只需外接很少的几只元件就能实现一个多种波形输出的信号发生器。
该器件产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%;输出频率围为0.01Hz~300kHz;方波输出电压幅度为零到外接电源电压。
使用5G8038集成函数发生器设计本任务书下达的技术指标,完全可以满足要求。
五.电路设计1. 5G8038集成函数发生器工作原理图1 ICL8038函数发生器的方框图图1是ICL8038多功能函数发生器的原理框图。
它由一个恒流充放电振荡电路和一个正弦波变换器组成,恒流充放电振荡器产生方波和三角波,三角波经正弦波变换器输出正弦波。
多功能信号发生器毕业设计

摘要随着科技的发展,对信号发生器的各方面要求越来越高。
传统的信号发生器由于波形精度低,频率稳定性差等特点,已经不能满足许多实际应用的需要。
而且市场上出售的低频信号发生器价格昂贵,为了适应实际的需要,设计一种低频信号发生器。
本文介绍了一种基于EDA(电子设计自动化)技术的低频信号发生器,它采用CPLD(复杂可编程逻辑器件)与单片机结合的方法,可以产生递增锯齿波、递减锯齿波、三角波、阶梯波、方波、正弦波共6种波形。
它运用DDS(直接数字频率合成技术)技术的基本工作原理,通过QUARTUS II 9.0软件和VHDL 语言编程,由CPLD控制数据输出,经数模转化器转换成相应的模拟信号。
整个信号发生器以单片机(STC89C52RC)为控制中心,主要由电源模块、按键电路模块、液晶显示模块、调幅模块、波形生成模块、滤波模块等组成。
另外为了更好的完成本次毕设,本次设计CPLD选用ALTERA公司的EPM240CT100C5。
关键词:低频信号发生器;EDA;复杂可编程逻辑器件;DDS;VHDLAbstractWith the development of technology, signal generator have become increasingly demanding in all aspects. Traditional signal generators can not meet the needs of many practical applications because of low precision waveform, frequency stability characteristics of poor and high price in the market. According to the really demands, we design a low-frequency signal generator.In this paper, we introduce a low-frequency signal generator based on EDA (Electronic Design Automation) technology. It uses the method of combining CPLD (Complex Programmable Logic Devices) and SCM which can generate incremental ramp wave, decreasing saw tooth wave, triangle wave, ladder wave, square wave, sine wave. It uses the basic working principle of DDS(Direct Digital Frequency Synthesis) technology, through the Quartus II 9.0 software and the VHDL programming language, and the CPLD control data output by the digital-analog converter which converts the corresponding analog signal .The SCM (STC89C52RC) is the control center of the signal generator which is composed of power supply module, the key circuit module, LCD module, the AM module, the waveform generating module, filter module and other components. In addition to better completion of this complete set, this design uses Altera Corporation EPM240CT100C5.Key words:a low-frequency signal generator;EDA;CPLD;DDS;VHDL目录摘要 (1)ABSTRACT (3)1 绪论 (6)1.1研究课题的目的及意义 (6)1.2国内外现状 (7)1.3本设计的目标 (7)2 信号发生器设计方案 (9)2.1传统的信号发生器设计方案 (9)2.2基于微处理器和数字/模拟转换器的设计方案 (9)2.3直接数字频率合成技术 (10)2.4DDS方案选择 (11)3 CPLD及开发语言简介 (15)3.1CPLD简介 (15)3.2开发语言和开发环境的选择 (16)3.2.1 VHDL语言简介 (16)4 多功能信号发生器硬件电路设计 (19)4.1整体电路设计分析 (19)4.2单片机最小系统设计 (19)4.2.1 单片机选型 (19)4.2.2 STC89C52RC单片机简介 (20)4.2.3 单片机最小系统 (21)4.3按键电路设计 (22)4.4显示电路设计 (23)4.5幅度控制电路设计 (23)4.5.1 DAC0832简介 (23)4.5.2 幅度控制电路分析 (26)4.6波形生成电路设计 (27)4.7低通滤波模块设计 (28)4.7.1 低通滤波器选择 (28)4.7.2 低通滤波器仿真设计 (31)4.8CPLD最小系统设计 (32)4.9电源模块设计 (34)5 低频信号发生器软件设计 (38)5.1单片机软件设计 (38)5.2CPLD软件设计 (39)5.2.1 CPLD内部构成 (40)5.2.2 三角波模块的程序流程图及分析 (42)5.2.3 矩形波模块的程序流程图 (43)5.3Q UARTUS II简介和仿真 (45)5.3.1 Quartus II简介 (45)5.3.2 CPLD软件仿真和实际波形图 (46)结束语 (50)致谢 (52)参考文献 (54)附录A CPLD程序 (55)1 绪论1.1 研究课题的目的及意义信号发生器用于产生被测电路所需特定参数的电测试信号。
多功能信号发生器研发设计

潍坊科技学院毕业设计(论文)论文题目:多功能信号发生的设计系部:汽车工程学院专业班级:机电一班学号:201102060010姓名:孙书波指导教师:刘华新汽车工程学院毕业论文评分表题目:多功能信号发生器的设计学生姓名:孙书波学号:专业:机电一体化班级:机电一班②总评分等级:优秀(90-100)、良好(80-89)、中等(70-79)、及格(60-69)、不及格(60以下)。
目录ABSTRACT ........................................... I II第 1 章绪论...................................... I V1.1 课题背景................................ I V1.2 课题意义................................ I V第2章信号发生器的方法研究..................... V I2.1 总体方案设计............................. V I2.2 模块划分................................. V I第 3 章硬件电路的设计......................... V III3.1 基本原理............................... V III3.2 资源分配............................... V III3.3 子模块具体设计........................... I X3.3.1 AT89C51单片机介绍........................ I X3.3.2 按键电路............................... X III3.3.3 D/A转换电路的设计........................ X V3.3.4 I/V转换波形输出电路 (I)LM324工作原理 (I)图3-27 系统信号变换..................... I I双极性输出实现........................... I I;多功能信号发生器的设计摘要摘要:单片微型计算机(简称单片机)作为微型计算机的一个很重要的分支,自它诞生以来至今,以其极高的性能价格比以及一系列人所共识的优点,受到越来越多的工程技术人员的重视。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
重庆大学城市科技学院电气学院EDA课程设计报告题目:多功能信号发生器专业:电子信息工程班级:2006级03班小组:第12组学号及姓名:20060075蒋春20060071冯志磊20060070冯浩真指导教师:戴琦琦设计日期:2009-6-19多功能信号发生器设计报告一、设计题目运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。
二、课题分析(1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。
(2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。
(3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。
最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。
同时为了方便观察,应再引出个未经调幅的信号作为对比。
三、设计的具体实现1、系统概述系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。
2、单元电路设计与分析外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。
(1)分频器(DVF)分频器(DVF)的RTL截图分频器(DVF)的源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DVF ISPORT( D_MODE: IN STD_LOGIC_VECTOR(3 DOWNTO 0);D_CLK: IN STD_LOGIC;D_OUT: OUT STD_LOGIC);END DVF;ARCHITECTURE behav of DVF ISSIGNAL FULL: STD_LOGIC;BEGINP_REG: PROCESS(D_CLK)VARIABLE CNT8:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF D_CLK'EVENT AND D_CLK='1' THENIF CNT8="1111" THENCNT8:=D_MODE;FULL<='1';ELSE CNT8:=CNT8+1;FULL<='0';END IF;END IF;END PROCESS P_REG;P_DIV:PROCESS(FULL)VARIABLE CNT2:STD_LOGIC;BEGINIF FULL'EVENT AND FULL='1' THENCNT2:=NOT CNT2;IF CNT2='1' THEN D_OUT<='1'; ELSE D_OUT<='0';END IF;END IF;END PROCESS P_DIV;END behav;(2)地址发生器(CNT6B)地址发生器(CNT6B)的RTL截图地址发生器(CNT6B)的源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT6B ISPORT (C_CLK,C_RST,C_EN : IN STD_LOGIC;C_OUT : OUT STD_LOGIC_VECTOR(5 DOWNTO 0));END CNT6B;ARCHITECTURE behav OF CNT6B ISSIGNAL CQI : STD_LOGIC_VECTOR(5 DOWNTO 0);BEGINPROCESS(C_CLK,C_RST,C_EN)BEGINIF C_RST = '1' THEN CQI <= (OTHERS =>'0') ; --计数器异步复位ELSIF C_CLK'EVENT AND C_CLK='1' THEN --检测时钟上升沿IF C_EN = '1' THEN CQI <= CQI + 1;END IF;END IF;END PROCESS;C_OUT<=CQI;END behav;(3)四个ROM模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)data_rom_sin正弦波的ROM数据:data_rom_sqr方波的ROM数据:data_rom_tri三角波的ROM数据:data_rom_c锯齿波的ROM数据:ROM模块的源程序(以正弦波为例,其余以此类推):LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY altera_mf;USE altera_mf.all;ENTITY data_rom_sin ISPORT( address : IN STD_LOGIC_VECTOR (5 DOWNTO 0);clock : IN STD_LOGIC ;q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));END data_rom_sin;ARCHITECTURE SYN OF data_rom_sin ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);COMPONENT altsyncramGENERIC (address_aclr_a : STRING;init_file : STRING;intended_device_family : STRING;lpm_hint : STRING;lpm_type : STRING;numwords_a : NATURAL;operation_mode : STRING;outdata_aclr_a : STRING;outdata_reg_a : STRING;widthad_a : NATURAL;width_a : NATURAL;width_byteena_a : NATURAL);PORT ( clock0 : IN STD_LOGIC ;address_a : IN STD_LOGIC_VECTOR (5 DOWNTO 0);q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));END COMPONENT;BEGINq <= sub_wire0(7 DOWNTO 0);altsyncram_component : altsyncramGENERIC MAP (address_aclr_a => "NONE",init_file => "data_rom_sin.mif",intended_device_family => "Cyclone",lpm_hint => "ENABLE_RUNTIME_MOD=NO",lpm_type => "altsyncram",numwords_a => 64,operation_mode => "ROM",outdata_aclr_a => "NONE",outdata_reg_a => "CLOCK0",widthad_a => 6,width_a => 8,width_byteena_a => 1 )PORT MAP (clock0 => clock,address_a => address,q_a => sub_wire0);END SYN;(4)四输入多路选择器mux四输入多路选择器mux的源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux IS PORT(a,b,c,d: in std_logic_vector(7 downto 0);s: in std_logic_vector(1 downto 0);x:out std_logic_vector(7 downto 0));end mux;architecture archmux of mux isbeginmux4_1: process(a,b,c,d)beginif s="00" then x<=a;elsif s="01" then x<= b;elsif s="10" then x<=c;else x<=d;end if;end process mux4_1;end archmux;四输入多路选择器mux的RTL截图(5)幅度调节单元w幅度调节单元w的源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY W ISPORT( W_IN: IN STD_LOGIC_VECTOR(7 DOWNTO 0);W_MODE: IN STD_LOGIC_VECTOR(1 DOWNTO 0);W_OUT: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END W;ARCHITECTURE BEHAV OF W ISSIGNAL BUFF:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINW_OUT<=BUFF ;PROCESS( W_IN,W_MODE )BEGINCASE W_MODE ISWHEN "00" => BUFF<=W_IN ;WHEN "01" =>BUFF( 6 DOWNTO 0 )<=W_IN( 7 DOWNTO 1 ) ; BUFF( 7 )<='0';WHEN "10" =>BUFF( 5 DOWNTO 0 )<=W_IN( 7 DOWNTO 2 ) ;BUFF( 7 )<='0';BUFF( 6 )<='0';WHEN OTHERS =>BUFF( 4 DOWNTO 0 )<=W_IN( 7 DOWNTO 3 ) ; BUFF( 7 )<='0';BUFF( 6 )<='0'; BUFF( 5 )<='0';END CASE;END PROCESS;END ARCHITECTURE BEHAV;幅度调节单元w的RTL截图3.总的结构图4.通过逻辑分析仪观察到得波形图四、总结通过本次课程设计既巩固了EDA的一些相关基本知识,又熟悉了QuartusII 软件和相关硬件的相关操作。