四位二进制除法器

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

四位二进制除法器设计

李道通1411082758

1、设计方法

采用移位相减法设计二进制除法器:被除数和除数都是二进制数,采用将除数移位的方法。1)判断除数是否零:如果除数为零,返回等待;2)除数不为零时,C左移一位,将被除数A的最高位赋值给C的最低位,A左移一位,将最低位赋值为零;3)判断C和除数B的大小,若C>=B,这C=C-B,且A的最低位赋值为1。4)如此循环四次,得到的A即为商,得到的C为余数。该算法的好处在于被除数和商公用一个寄存器A,节省资源。

2、算法流程图

图中:被除数和除数分别放在A、B中,商余数分别放在A和C,N为计数器

3、VHDL程序代码:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.NUMERIC_STD.ALL;

ENTITY ldt_chufaqi IS

PORT(A,B:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

C,D:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END ENTITY ldt_chufaqi;

ARCHITECTURE BEHA V OF ldt_chufaqi IS

BEGIN

S1:PROCESS(A,B)

V ARIABLE N:INTEGER;

V ARIABLE TEMP_A,TEMP_B,TEMP_C:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

TEMP_A:=A;

TEMP_B:=B;

TEMP_C:="0000";

N:=0;

IF(B>"0000")THEN

WHILE(N<4) LOOP

TEMP_C:=TEMP_C(2 DOWNTO 0)& TEMP_A(3);

TEMP_A:=TEMP_A(2 DOWNTO 0)&'0';

IF TEMP_C>=TEMP_B THEN

TEMP_C:=TEMP_C-TEMP_B;

TEMP_A(0):='1';

END IF;

N:=N+1;

END LOOP;

ELSE

TEMP_A:="ZZZZ";

TEMP_C:="ZZZZ";

END IF;

D<=TEMP_A(3 DOWNTO 0);C<=TEMP_C(3 DOWNTO 0);

END PROCESS;

END ARCHITECTURE BEHA V;

4、仿真结果:

图中:A、B、C、D分别是被除数、除数、余数和商,因本人对软件和语言的运用理解不足,无法做到A的同时输入和输出,故将A的结果赋值给D,但基本思路运算方法已经得到实现。

除数为零时,商和余数都为高阻态;

除数为3时,求的商和余数,仿真结果正确。

除数为5时,商和余数的仿真结果正确。

相关文档
最新文档