版图设计实验

版图设计实验
版图设计实验

电子科技大学成都学院

(微电子技术系)

实验报告书

课程名称:版图设计实验

学号:1140720524

姓名:王灿

教师:赖广升

2013 年12 月25 日

实验一快速电压比较器的电路图设计

一、实验目的

1)学会使用cadence中的virtuoso软件;

2)学会如何用virtuoso画出设计的电路图;

3)学会如何改正画电路图中出现的错误。

二、实验仪器设备

计算机,Cadence软件

三、实验原理和内容

在cadence软件中画出电路图可用于检验画出的版图是否满足所需的设计需求。

四、实验步骤

1)打开virtuoso,如图1-1到1-4

图1-1

图1-2

图1-3

图1-4 2)建立一个电路图工程,如图2-1

图2-1

3)选择工程类型,如图3-1

图3-1

4)按I,调用需要的器件并设置器件参数,如图4-1

图4-1

5)按P,调用需要的端口并命名,如图5-1

图5-1 6)按W,依据图纸连接电路,如图6-1

图6-1 7)保存电路图并检查

五、实验数据

************************************************************************ * auCdl Netlist:

*

* Library Name: 222

* Top Cell Name: 1140720524

* View Name: schematic

* Netlisted on: Dec 30 14:43:58 2013

************************************************************************

*.EQUATION

*.SCALE METER

*.MEGA

.PARAM

*.GLOBAL vss!

+ vcc!

*.PIN vss!

*+ vcc!

************************************************************************ * Library Name: 222

* Cell Name: 1140720524

* View Name: schematic

************************************************************************

.SUBCKT 1140720524 V-_SENSE VBG_H VBIAS1 VD4_EN VD4_OUT

*.PININFO V-_SENSE:I VBG_H:I VBIAS1:I VD4_EN:I VD4_OUT:O

MNM3 net17 net11 net16 vss! NN W=4u L=2u m=2

MNM1 VD4_OUT net17 net16 vss! NN W=4u L=4u m=1

MNM0 net11 net11 net16 vss! NN W=4u L=2u m=2

MNM2 net16 VD4_EN vss! vss! NN W=5u L=600n m=1

MPM6 net16 V-_SENSE net20 net20 NP W=12u L=1u m=3

MPM5 net17 net20 net13 net13 NP W=6u L=2u m=2

MPM4 net11 VBG_H net13 net13 NP W=6u L=2u m=2

MPM3 VD4_OUT VD4_EN vcc! vcc! NP W=3u L=600n m=1

MPM2 VD4_OUT VBIAS1 vcc! vcc! NP W=4u L=4u m=1

MPM7 net20 VBIAS1 vcc! vcc! NP W=2u L=5u m=4

MPM0 net13 VBIAS1 vcc! vcc! NP W=2u L=4u m=1

.ENDS

六、结果及分析

电路图并无连接错误。

1)明白的cadence的virtuoso的基本操作;

2)学会了如何在virtuoso中画出电路图;

3)知道了在画图过程中易出现的错误,并学会了改正这些错误。

实验二短路保护的版图设计

一、实验目的

1)学会使用cadence中的virtuoso软件;

2)学会如何用virtuoso画出设计的版图;

3)学会改正画版图中出现的错误。

二、实验仪器设备

Cadence软件

三、实验原理和内容

在cadence软件中画出可以用于给予工厂成片的版图。四、实验步骤

1)打开virtuoso建立一个版图的工程,如图1-1到1-2

图1-1

2)画出对应电路图的版图模块,如图2-1到2-3

模块1:偏置MOS管

图2-1-1

图2-1-2 模块2:差分对

图2-2-1

图2-2-2 模块3:电流镜

图2-3-1

图2-3-2 4)测试版图的RDC,如图3-1到3-3

图3-1

图3-2

图3-3 5)检查版图的LVS,如图4-1到4-2

图4-1

图4-2

图4-3

图4-4

五、实验数据

* SPICE NETLIST

***************************************

.SUBCKT mn_6

** N=4 EP=0 IP=0 FDC=0

*.SEEDPROM

.ENDS

*************************************** .SUBCKT mp_3

** N=5 EP=0 IP=0 FDC=0

*.SEEDPROM

.ENDS

*************************************** .SUBCKT mp_7

** N=5 EP=0 IP=0 FDC=0

*.SEEDPROM

.ENDS

*************************************** .SUBCKT mp_1

** N=5 EP=0 IP=0 FDC=0

*.SEEDPROM

PCB版图设计报告

兰州交通大学电信学院课程设计实验报告 实验名称:负反馈放大电路PCB设计 无线话筒PCB设计(选作) 试验日期: 2012年6月25日 班级: 电子科学与技术092班 姓名: 刘光智 学号: 200910112

Altium designer简介 Altium Designer 提供了唯一一款统一的应用方案,其综合电子产品一体化开发所需的所有必须技术和功能。Altium Designer 在单一设计环境中集成板级和FPGA系统设计、基于FPGA和分立处理器的嵌入式软件开发以及PCB版图设计、编辑和制造。并集成了现代设计数据管理功能,使得Altium Designer成为电子产品开发的完整解决方案-一个既满足当前,也满足未来开发需求的解决方案。 一、实验目的 1.了解并学会运用Altium designer软件绘制简单PCB 2.会运用Alitum designer软件设计库元件 3.掌握印刷电路板布线流程 4.掌握印刷电路板设计的基本原则 二、设计内容 1.要求用Alitum designer软件画出电路原理图 2.按照所画原理图自动生成PCB版图 3.会自己设计元件和库 三、实验步骤(负反馈放大器PCB设计) 1、新建工程、为工程添加项目:在D盘新建一个自己的文件夹重命名为ffk,运行Alitum designer软件,然后单击文件/新建/工程/PCB工程,然后右击所建的PCB工程选择给工程添加原理图,然后添加PCB,建完PCB工程保存工程到D/ffk内,保存时三个文件都命名为ffk.扩展名 2、画原理图:在原理图窗口画出所要画的PCB原理图,本次实验所画电路图如图1: 图1 3、对所画电路图进行编译:点击工程/Compile Document mic.SchDoc,然后点击工程/Compile PCB Project PCB_mic.PrjPCB,然后打开Messages窗口查看编译结果,若有错误按照提示对错误进行改正再编译,直至没有错误结束编译

完整word版图的应用的实验报告

实验六图的应用及其实现 一、实验目的1.进一步功固图常用的存储结构。 2.熟练掌握在图的邻接表实现图的基本操作。 3.理解掌握AOV网、AOE网在邻接表上的实现以及解决简单的应用问题。二、实验内容 [题目一]:从键盘上输入AOV网的顶点和有向边的信息,建立其邻接表存储结构,然后对该图拓扑排序,并输出拓扑序列. 试设计程序实现上述AOV网的类型定义和基本操作,完成上述功能。 测试数据:教材图7.28 [题目二]:从键盘上输入AOE网的顶点和有向边的信息,建立其邻接表存储结构,输出其关键路径和关键路径长度。试设计程序实现上述AOE网类型定义和基本操作,完成上述功能。 测试数据:教材图7.29 三、实验步骤 ㈠、数据结构与核心算法的设计描述 基本数据结构: #define TRUE 1 #define FALSE 0 #define OK 1 #define ERROR 0 #define INFEASIBLE -1 typedef int Status; /* Status 是函数的类型,其值是函数结果状态代码,如OK 等*/ #define INFINITY INT_MAX //定义无穷大∞ #define MAX_VERTEX_NUM 20 typedef int VertexType; typedef int InfoType; typedef struct ArcNode // 表结点定义 { InfoType info; int adjvex; //邻接点域,存放与Vi邻接的点在表头数组中的位置 ArcNode *nextarc; //链域,指示依附于vi的下一条边或弧的结点, }ArcNode; typedef struct VNode //表头结点 { int data; //存放顶点信息 struct ArcNode *firstarc; //指示第一个邻接点 }VNode,AdjList[MAX_VERTEX_NUM];

异或门版图设计报告

西安科技大学 高新学院 微电子专业实验报告 专业:微电子 班级:1001 姓名:黄升 学号:1001050120 指导老师:王进军

设计软件:tanner软件 实验目的和要求: 1、掌握L-edit软件的基本设定和集成电路工艺和版图的图层关系。 2、根据性能和指标要求,明确设计要求和规则。 3、电路版图实现过程中电源线的走法。 4、掌握L-edit和S-edit仿真环境,完成异或门的仿真。 5、掌握LVS环境变量。 异或门版图的设计方法: 1、确定工艺规则。 2、绘制异或门版图。 3、加入工作电源进行分析。 4、与LVS比较仿真结果。 实验内容: 完成COMS异或门版图设计,COMS异或门原理如下,要求在S-edit 中画出每一电路元件,并给出输入输出端口及电源线和地线。(一)异或逻辑关系式及真值表:F=A⊕B=A′B+ AB′

(二)原理图: (三)版图:

(四)仿真分析: Main circuit:Module0 .include“E:\ProgramFiles\tannerEDA\T-Spice10.1\models\m12_125.md M1 N3 A Gnd Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M2 F B N3 Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M3 F N3 B Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M4 N3 A Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M5 F B A Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M6 F A B Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u v7 Vdd Gnd 5.0 v8 B Gnd pulse(0.05.00 In In 100n 200n) v9 A Gnd pulse(0.05.00 In In 100n 400n) .tran In 800n .print tran v(A) v(B) v(F) End of main circuit:Module0

D锁存器版图设计实验报告

第一章:绪论 1.1 简介 1.1.1 集成电路 集成电路版图设计是电路系统设计与集成电路工艺之间的中间环节。通过集成电路版图设计,将立体的电路系统转变为二维平面图形。利用版图制作掩模板,就可以由这些图形限定工艺加工过程,最终还原为基于半导体材料的立体结构。 以最基本的MOS器件为例,工艺生产出的器件应该包含源漏扩散区、栅极以及金属线等结构层。按照电路设计的要求,在版图中用不同图层分别表示这些结构层,画好各个图层所需的图形,图形的大小等于工艺生产得到的器件尺寸。正确摆放各图层图形之间的位置关系,绘制完成的版图基本就是工艺生产出的器件俯视图。 器件参数如MOS管的沟道尺寸,由电路设计决定,等于有源区与栅极重叠部分的尺寸。其他尺寸由生产工艺条件决定,不能随意设定。 在工艺生产中,相同结构层相连即可导电,而不同结构层之间是由氧化层隔绝的,相互没有连接关系,只有制作通孔才能在不同结构层之间导电。与工艺生产相对应的版图中默认不同图层之间的绝缘关系,因此可以不必画氧化层,却必须画各层之间的通孔。另外,衬底在版图设计过程中默认存在,不必画出。而各个N阱、P阱均由工艺生产过程中杂质掺杂形成,版图中必须画出相应图形。 1.1.2 版图设计基本知识 版图设计是创建工程制图(网表)的精确的物理描述的过程,而这一物理描述遵守由制造工艺、设计流程以及仿真显示为可行的性能要求所带来的一系列约束。版图设计得好坏,其功能正确与否,必须通过验证工具才能确定。版图的验证通常包括三大部分:设计规则检查(DRC)、电学规则检查(ERC)和版图与电路图对照(LVS)。只有通过版图验证的芯片设计才进行制版和工艺流片。 设计规则的验证是版图与具体工艺的接口, 因此就显得尤为重要, Cadence 中进行版图验证的工具主要有dracula和diva。Dracula 为独立的验证工具, 不仅可以进行设计规则验证(DRC) , 而且可以完成电学规则验证(ERC)、版图与电路验证(LV S)、寄生参数提取(L PE) 等一系列验证工作, 功能强于Diva。 1.2 软件介绍 Cadence是一个大型的EDA软件,它几乎可以完成电子设计的方方面面,包括ASIC 设计、FPGA设计和PCB板设计。Cadence在仿真、电路图设计、自动布局布线、

电子科技大学 集成电路原理实验模拟集成电路版图设计与验证 王向展

实验报告 课程名称:集成电路原理 实验名称:模拟集成电路版图设计与验证小组成员: 实验地点:科技实验大楼606 实验时间:2017年6月19日 2017年6月19日 微电子与固体电子学院

一、实验名称:模拟集成电路版图设计与验证 二、实验学时:4 三、实验原理 1、电路设计与仿真 实验2内容,根据电路的指标和工作条件,然后通过模拟计算,决定电路中各器件的参数(包括电参数、几何参数等),EDA软件进行模拟仿真。 2、工艺设计 根据电路特点结合所给的工艺,再按电路中各器件的参数要求,确定满足这些参数的工艺参数、工艺流程和工艺条件。 3、版图设计 按电路设计和确定的工艺流程,把电路中有源器件、阻容元件及互连以一定的规则布置在Candence下的版图编辑器内。并优化版图结构。 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理》课程设置及其特点而设置,为IC设计性实验。其目的在于: 1、根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路版图设计,掌握基本的IC版图布局布线技巧。 2、学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计与验证。 通过该实验,使学生掌握CMOS模拟IC版图设计的流程,加深对课程知识的感性认识,增强学生的设计与综合分析能力。 五、实验内容 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。

2、根据实验2所得参数,自主完成版图设计,并掌握布局布线的基本技巧。 3、整理版图生成文件,总结、撰写并提交实验报告。 六、实验仪器设备 (1)工作站或微机终端一台 (2)EDA仿真软件1套 七、实验步骤 1、根据实验指导书掌握Cadence EDA仿真环境的调用。熟悉版图编辑器Layout Editor的使用。了解基本的布局布线方法及元器件的画法。 2、根据实验2所计算验证的两级共源CMOS运放的元器件参数如表1所示,在版图设计器里画出相应的元器件,对V+、V-、V out、V DD、GND的压焊点位置合理化放置,通过金属画线将各个元器件按实验2的电路图合理连接,避免跳线。 表 1运放各器件版图参数

集成电路版图设计论文

集成电路版图设计 班级12级微电子姓名陈仁浩学号2012221105240013 摘要:介绍了集成电路版图设计的各个环节及设计过程中需注意的问题,然后将IC版图设计与PCB版图设计进行对比,分析两者的差异。最后介绍了集成电路版图设计师这一职业,加深对该行业的认识。 关键词: 集成电路版图设计 引言: 集成电路版图设计是实现集成电路制造所必不可少的设计环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本与功耗。近年来迅速发展的计算机、通信、嵌入式或便携式设备中集成电路的高性能低功耗运行都离不开集成电路掩模版图的精心设计。一个优秀的掩模版图设计者对于开发超性能的集成电路是极其关键的。 一、集成电路版图设计的过程 集成电路设计的流程:系统设计、逻辑设计、电路设计(包括:布局布线验证)、版图设计版图后仿真(加上寄生负载后检查设计是否能够正常工作)。集成电路版图设计是集成电路从电路拓扑到电路芯片的一个重要的设计过程,它需要设计者具有电路及电子元件的工作原理与工艺制造方面的基础知识,还需要设计者熟练运用绘图软件对电路进行合理的布局规划,设计出最大程度体现高性能、低功耗、低成本、能实际可靠工作的芯片版图。集成电路版图设计包括数字电路、模拟电路、标准单元、高频电路、双极型和射频集成电路等的版图设计。具体的过程为: 1、画版图之前,应与IC 工程师建立良好沟通在画版图之前,应该向电路设计者了解PAD 摆放的顺序及位置,了解版图的最终面积是多少。在电路当中,哪些功能块之间要放在比较近的位置。哪些器件需要良好的匹配。了解该芯片的电源线和地线一共有几组,每组之间各自是如何分布在版图上的? IC 工程师要求的工作进度与自己预估的进度有哪些出入? 2、全局设计:这个布局图应该和功能框图或电路图大体一致,然后根据模块的面积大小进行调整。布局设计的另一个重要的任务是焊盘的布局。焊盘的安排要便于内部信号的连接,要尽量节省芯片面积以减少制作成本。焊盘的布局还应该便于测试,特别是晶上测试。 3、分层设计:按照电路功能划分整个电路,对每个功能块进行再划分,每一个模块对应一个单元。从最小模块开始到完成整个电路的版图设计,设计者需要建立多个单元。这一步就是自上向下的设计。 4、版图的检查: (1)Design Rules Checker 运行DRC,DRC 有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查。程序就按照规则检查文件运行,发现错误时,会在错误的地方做出标记,并且做出解释。

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

版图设计实验报告

版图设计实验报告 课程名称:集成电路版图设计 姓名: 学号; 专业;电子科学与技术 教师;老师

目录 (一)实验目的 (3) (二)实验步骤 (4) 1,搭建环境···································································································· 2,运用ic6151··························································································· 3,作图··········································································································· 4,Run DRC·························································································· 5,画原理图··························································································· 6,Run LVS········································································································(三)实验总结·················································································································

集成电路版图设计笔试面试大全

集成电路版图设计笔试面试大全 1. calibre语句 2. 对电路是否了解。似乎这个非常关心。 3. 使用的工具。 , 熟练应用UNIX操作系统和L_edit,Calibre, Cadence, Virtuoso, Dracula 拽可乐(DIVA),等软件进行IC版图 绘制和DRC,LVS,ERC等后端验证 4. 做过哪些模块 其中主要负责的有Amplifier,Comparator,CPM,Bandgap,Accurate reference,Oscillator,Integrated Power MOS,LDO blocks 和Pad,ESD cells以及top的整体布局连接 5. 是否用过双阱工艺。 工艺流程见版图资料 在高阻衬底上同时形成较高的杂质浓度的P阱和N阱,NMOS、PMOS分别做在这两个阱中,这样可以独立调节两种沟道MOS管的参数,使CMOS电路达到最优特性,且两种器件间距离也因采用独立的阱而减小,以适合于高密度集成,但是工艺较复杂。 制作MOS管时,若采用离子注入,需要淀积Si3N4,SiO2不能阻挡离子注入,进行调沟或调节开启电压时,都可以用SiO2层进行注入。 双阱CMOS采用原始材料是在P+衬底(低电阻率)上外延一层轻掺杂的外延层P-(高电阻率)防止latch-up效应(因为低电阻率的衬底可以收集衬底电流)。 N阱、P阱之间无space。

6. 你认为如何能做好一个版图,或者做一个好版图需要注意些什么需要很仔细的回答~答:一,对于任何成功的模拟版图设计来说,都必须仔细地注意版图设计的floorplan,一般floorplan 由设计和应用工程师给出,但也应该考虑到版图工程师的布线问题,加以讨论调整。总体原则是 模拟电路应该以模拟信号对噪声的敏感度来分类。例如,低电平信号节点或高阻抗节点,它们与输入信号典型相关,因此认为它们对噪声的敏感度很高。这些敏感信号应被紧密地屏蔽保护起来,尤其是与数字输出缓冲器隔离。高摆幅的模拟电路,例如比较器和输出缓冲放大器应放置在敏感模拟电路和数字电路之间。数字电路应以速度和功能来分类。显而易见,因为数字输出缓冲器通常在高速时驱动电容负载,所以应使它离敏感模拟信号最远。其次,速度较低的逻辑电路位于敏感模拟电路和缓冲输出之间。注意到敏感模拟电路是尽可能远离数字缓冲输出,并且最不敏感的模拟电路与噪声最小的数字电路邻近。 芯片布局时具体需考虑的问题,如在进行系统整体版图布局时,要充分考虑模块之间的走线,避免时钟信号线对单元以及内部信号的干扰。模块间摆放时要配合压焊点的分布,另外对时钟布线要充分考虑时延,不同的时钟信号布线应尽量一致,以保证时钟之间的同步性问题。而信号的走线要完全对称以克服外界干扰。 二(电源线和地线的布局问题

ADS实验报告

射频微波EDA课程报告 学院: 班级: 姓名: 学号: 指导老师: 2015年5月

一、本课设学习目的 通过射频微波EDA课程设计的学习,在学习EDA仿真软件ADS使用方法的基础上,掌握最基本的射频无源/有源电路的工作原理与系统仿真设计。加深对于EDA的理解,并将理论与实践相结合,用实践证明理论,更深入掌握EDA。 二、本课设报告内容 (一)、利用ADS进行放大器匹配电路设计。要求:1)使用晶体管为bjt_pkg (参数beta=50),2)中心频率为1900MHz,对应的S21>30dB,S11和S22<-30dB。1)相关电路原理简介: (一)1.导入ac_vcc.dns,按照书本所示更改电路图,添加终端负载等元件,写入改变终端阻抗的方程: 2)必要的设计参数、步骤、仿真电路图 2.开始仿真,引入S21的矩形图,并插入标志,得到如下:

3.运行仿真,输出portZ (2)数据列表,可以看出,当频率大于等于400MHz 时,负载阻抗为35欧: 4.在数据显示窗中计算感抗,容抗值: (3)插入列表,显示电感值和感抗范围: freq 100.0 M Hz 200.0 M Hz 300.0 M Hz 400.0 M Hz 500.0 M Hz 600.0 M Hz 700.0 M Hz 800.0 M Hz 900.0 M Hz 1.000 GHz 1.100 GHz 1.200 GHz 1.300 GHz 1.400 GHz 1.500 GHz 1.600 GHz 1.700 GHz 1.800 GHz 1.900 GHz 2.000 GHz 2.100 GHz 2.200 GHz 2.300 GHz 2.400 GHz 2.500 GHz 2.600 GHz 2.700 GHz 2.800 GHz 2.900 GHz 3.000 GHz 3.100 GHz 3.200 GHz 3.300 GHz 3.400 GHz 3.500 GHz 3.600 GHz 3.700 GHz PortZ(2) 50.000 / 0.000 50.000 / 0.000 50.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000 35.000 / 0.000

集成电路版图设计_实验三习题

实验三: 1、反相器直流工作点仿真 1)偏置电压设置:Vin=1V;Vdd=2V; 2)NMOS沟道尺寸设置:Wnmos= ;Lnmos= ; 3)PMOS沟道尺寸设置:设置PMOS的叉指数为3,每个叉指的宽度为变量wf;这样Wpmos=3*wf;设置wf=Wnmos;Lpmos= ; 4)直流工作点仿真结果:Ids= ;Vout= ;NMOS工作在工作区域;PMOS 工作在工作区域;该反相器的功耗为; 2、反相器直流工作点扫描设置 1)偏置电压设置:Vin=1V;Vdd=2V; 2)在直流仿真下设置Wnmos= ;Lnmos= ;扫描参数为PMOS的叉指宽度wf,扫描范围为到;扫描步长为;仿真输出wf为横坐标、Vout为纵坐标的波形曲线; 观察wf对Vout的影响; 3)在上述步骤的基础上,记录输出电压Vout=1V时对应的PMOS的叉指宽度wf= ; 3、扫描反相器的直流电压转移特性 1)在上述步骤的基础上,记录Ids= ;该反相器的功耗Pdc= ; 2)扫描参数为Vin,扫描电压范围为到;扫描步长为;仿真输出Vin为横坐标、Vout为纵坐标的波形曲线;观察Vin对Vout的转移特性;结合理论分析在转移特性曲线上标出A、B、C、D、E五个工作区域; 3)扫描参数为Vin,扫描电压范围为到;扫描步长为;仿真输出Vin为横坐标、Ids为纵坐标的波形曲线;观察Vin对Ids的转移特性;结合理论分析反相器的静态功耗和动态功耗; 4、仿真反相器的瞬态特性 1)为反相器设置负载电容为; 2)设置Vin为Vpluse信号源,高电平为;低电平为;Rise time= ;Fall time= ; 周期为; 3)设置瞬态仿真stop time= ;step= ;maxstep= ; 4)观察仿真结果,该反相器的传输延迟= ;

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

版图设计实验报告

实验报告册 课程名称:集成电路版图设计教程姓名: 学号: 院系: 专业: 教师: 2016 年5 月15 日 实验一: OP电路搭建

一、实验目的: 1.搭建实体电路。 2.为画版图提供参考。 3.方便导入网表。 4.熟悉使用cadence。 二、实验原理和内容: 根据所用到的mn管分析各部分的使用方法,简化为几个小模块,其中有两个差分对管。合理运用匹配规则,不同的MOS管可以通过打孔O来实现相互的连接。 三、实验步骤: 1.新建设计库。在file→new→library;在name输入自己的学号;右边选择:attch to……;选择sto2→OK。然后在tools→library manager下就可看到自己建的库。 2.新建CellView。在file→new→CellView;cell栏输入OP,type →选择layout。 3.加器件。进入自己建好的电路图,选择快捷键I进行调用器件。MOS 管,在browse下查找sto2,然后调用出自己需要的器件。

4.连线。注意:若线的终点没有别的电极或者连线,则要双击左键才能终止画线。一个节点只能引出3根线。无论线的起点或是终点,光标都应进入红色电极接电。 5.加电源,和地符号。电源Vdd和地Vss的符号在analoglib库中选择和调用,然后再进行连线。 (可以通过Q键来编辑器件属性,把实验规定的MOS管的width和length数据输入,这样就可以在电路图的器件符号中显示出来) 6.检查和保存。命令是check and save。(检查主要针对电路的连接关系:连线或管脚浮空,总线与单线连接错误等)如果有错和警告,在‘schematic check’中会显示出错的原因,可以点击查看纠正。(画完后查看完整电路按快捷键F,连线一定要尽量节约空间,简化电路) 四、实验数据和结果: 导出电路网表的方法:新建文件OP,file→Export→OP(library browser 选NAND2),NAND.cdl,Analog √

(版图设计)

设计题目:三输入与或门 一、设计的目的和意义 设计目的: 1、熟悉并认识版图设计规则(DRC)检测 2、熟悉IC制造工艺文件 3、熟练运用软件设计电路和版图、 4、设计意义: 1.配合集成电路设计基础、集成电路设计硬件描述语言、超大规模集成电 路CAD、器件模型CAD、集成电路版图设计、微电子工艺等课程,培养 IC设计的实践能力; 2.进一步掌握基本的集成电路与器件设计和调试的方法与步骤;掌握设计 输入、编译、模拟、仿真、综合、布图、下载及硬件测试等IC设计基本 过程; 3.初步掌握应用典型的HDL(VHDL、Verilog),基于FPGA的IC设计调试 工具、Zeni2003物理设计工具进行集成电路设计、模拟与硬件仿真的方 法和过程; 4.进一步巩固所学IC设计相关的理论知识,提高运用所学知识分析和解决 实际集成电路工程设计问题的能力; 5.经过查找资料、选择方案、设计仿真器件或电路、检查版图设计、撰写 设计报告等一系列实践过程,实现一次较全面的IC设计工程实践训练,通过理论联系实际,提高和培养创新能力,为后续课程的学习,毕业设 计,毕业后的工作打下基础。 二、设计的主要内容和要求 主要内容: 设计一个CMOS结构三输入与或门(F=AB+C)的版图,并作DRC验证。 要求: 1.用三输入的与或非门和一个非门构建与门。 2.与或非门和非门都用CMOS结构实现。 3.利用九天EDA工具PDT画出其相应版图。 4.利用几何设计规则文件进行在线DRC验证并修改版图。

三、试验思想及说明 根据要求1将试验结果所示的表达式 F =AB+C转化为符合要求的逻辑表达式为: 。 画出相关的真值表如下: 用逻辑电路表示为: 然后画出相应的棒状图如下:

集成电路基础工艺和版图设计测试试卷

集成电路基础工艺和版图设计测试试卷 (考试时间:60分钟,总分100分) 第一部分、填空题(共30分。每空2分) 1、NMOS是利用电子来传输电信号的金属半导体;PMOS是利用空穴来传输电信号的金属半导体。 2、集成电路即“IC”,俗称芯片,按功能不同可分为数字集成电路和模拟集成电路,按导电类型不同可分为 双极型集成电路和单极型集成电路,前者频率特性好,但功耗较大,而且制作工艺复杂,不利于大规模集成;后者工作速度低,但是输入阻抗高、功耗小、制作工艺简单、易于大规模集成。 3、金属(metal)—氧化物(oxid)—半导体(semiconductor)场效应晶体管即MOS管,是一个四端有源器件,其四端分别是栅 极、源极、漏极、背栅。 4、集成电路设计分为全定制设计方法和半定制设计方法,其中全定制设计方法又分为基于门阵列和标准单元 的设计方法,芯片利用率最低的是基于门阵列的设计方法。 第二部分、不定项选择题(共45分。每题3分,多选,错选不得分,少选得1分) 1、在CMOS集成电路中,以下属于常用电容类型的有(ABCD) A、MOS电容 B、双层多晶硅电容 C、金属多晶硅电容 D、金属—金属电容 2、在CMOS集成电路中,以下属于常用电阻类型的有(ABCD) A、源漏扩散电阻 B、阱扩散电阻 C、沟道电阻 D、多晶硅电阻 3、以下属于无源器件的是(CD ) A、MOS晶体管 B、BJT晶体管 C、POL Y电阻 D、MIM电容 4、与芯片成本相关的是(ABC) A、晶圆上功能完好的芯片数 B、晶圆成本 C、芯片的成品率 D、以上都不是 5、通孔的作用是(AB ) A、连接相邻的不同金属层 B、使跳线成为可能 C、连接第一层金属和有源区 D、连接第一层金属和衬底 6、IC版图的可靠性设计主要体现在(ABC)等方面,避免器件出现毁灭性失效而影响良率。 A、天线效应 B、闩锁(Latch up) C、ESD(静电泄放)保护 D、工艺角(process corner)分析 7、减小晶体管尺寸可以有效提高数字集成电路的性能,其原因是(AB) A、寄生电容减小,增加开关速度 B、门延时和功耗乘积减小 C、高阶物理效应减少 D、门翻转电流减小 8、一般在版图设计中可能要对电源线等非常宽的金属线进行宽金属开槽,主要是抑制热效应对芯片的损害。下面哪些做法符合宽金属开槽的基本规则?(ABCD) A、开槽的拐角处呈45度角,减轻大电流密度导致的压力 B、把很宽的金属线分成几个宽度小于规则最小宽度的金属线 C、开槽的放置应该总是与电流的方向一致 D、在拐角、T型结构和电源PAD区域开槽之前要分析电流流向 9、以下版图的图层中与工艺制造中出现的外延层可能直接相接触的是(AB)。 A、AA(active area) B、NW(N-Well) C、POLY D、METAL1

集成电路版图培训实验报告

淮海工学院 电子工程学院 实习报告书 实习名称:专业实习 实习地点:苏州集成电路设计中心 实习时间: 2015.7.5— 专业名称:电子科学与技术 班级:电科121 姓名:郝秀 学号:

1 引言 大学生专业实习是大学学习阶段在完成一定的课程后所要进行的非常重要 的一个实践环节,实习是每一个合格的大学生必须拥有的一段经历,它使我们在实践中增强专业意识和实践意识。这次专业实习学校安排我们到苏州国际科技园进行为期五天的实习,在实习期间,我们得到了实习公司的大力支持,更有相关培训老师的的悉心培训指导,通过实习使我们对自己未来工作方向有了更清晰认识,为我们以后进一步走向社会打下坚实的基础。 2 实习目的 专业实习是电子科学与技术专业安排在校外进行的实践性教学环节,也是在专业基础课、专业课等基本学完之后的又一次实践性教学。其目的让学生了解实际的集成电路芯片的设计、版图绘制和检测等过程。把学过的理论知识与实际有机结合起来,为后续专业课的学习以及以后走向工作岗位打下一定的基础。 3 实习目标 (1)熟悉集成电路版图设计、集成电路测试技术、半导体器件识别等。 (2)熟悉集成电子产品制造技术,了解集成电子产品生产装配工艺和过程,生产安全操作规范。熟悉集成电子产品检测,集成电子产品的调试。 (3)通过行业报告、参观展厅、参观封装厂等了解集成电路行业。CMOS电路设计。学会行业软件使用:Linux基本操作及实践练习、EDA工具培训与练习、物理版图设计的基础概念。 (4)学习现场工作人员的优秀品质和敬业精神,培养正确的劳动观念和独立工作能力。 4 实习内容 (1)集成电路行业报告、实训课(测试、版图、行业软件使用)、参观展厅、参观工厂(芯片封装厂)。 (2)基础理论:集成电路行业介绍、CMOS电路设计、半导体物理。工具使用:Linux基本操作及实践练习、EDA工具培训与练习、物理版图设计的基础概念。标准单元实践:STDCELL练习项目实践:MACROCELL练习、综合练习PLL、后端数据处理。 (3)基础知识:数字信号的测试技术、V93K的硬件介绍、基于V93K的软件介绍及测试程序的建立。测试编程与离线调试:V93K的在线测试系统、V93K 的离线工作环境。

模拟CMOS集成电路设计实验指导手册

目录 第一部分.前言 第二部分.实验的基础知识 第三部分.实验内容 1.cadence virtuoso schematic进行电路图的绘制2.cadence virtuoso analog environment电路性能模拟3.cadence virtuoso layout editor进行版图设计4.cadence virtuoso DRC Extract LVS以及后仿真等。第四部分.附件 1.Cadence schematic simple tutorial 2.cadence virtuoso layout editor tutorial 3.SMIC0.18um library

第一部分.前言 本实验为微电子系专业选修课程《模拟CMOS集成电路设计》的配套实验。本实验围绕如何实现一个给定性能参数要求的简单差分运算放大器而展开。 通过该实验,使得学生能够建立模拟集成电路设计的基本概念,了解设计的基本方法,熟悉模拟CMOS集成电路设计的典型流程,了解在每一个流程中所应用的EDA工具,并能较熟练地使用每个流程对应的设计工具。通过让学生自己分析每个流程中所出现的问题,把课程所学知识联系实际,从而增强学生分析问题、解决问题的能力。 本实验的内容以教材一至十章内容为基础,因此,该实验适合在开课学期的后半部分时间开展。 本实验讲义内容安排如下,首先是前言,其次是基础知识,接下来是实际实验内容,具体分成四个过程,最后是附录。建议在实际实验开始之前依次浏览三个附件文档。

第二部分.实验的基础知识 该实验内容所涉及的基础知识包括两部分:电路方面、流程方面和EDA设计工具使用方面。 1.电路有关的基础知识。 该实验是围绕如何实现基于SMIC0.18um工艺下,一个给定性能参数要求的简单差分运算放大器而展开,因此,以电流镜做负载的基本五管差分运算放大器的性能分析是该实验的理论基础。具体内容在讲义以及课件相关章节中有详细介绍。以下用一张图简单重述该电路的有关性能与各元件参数之间的关系分析结论。 相关的设计公式如下:

集成电路设计综合实验报告

集 成 电 路 设 计 实 验 报 告 电控学院 微电0902班 0906090216 张鹏

目录 1 综合实验的任务与目的 (2) 2 综合实验的内容和要求 (2) 3设计方案对比和论证确定 (4) 4设计实现过程 (5) 5验证结果说明和结论 (7) 6总结版图设计技巧 (9) 7 参考文献 (10)

MOS集成运算放大器的版图设计 1 综合实验的任务与目的 集成电路设计综合实验是微电子学专业学科的实践性教学课程,其任务是向学生介绍集成电路软件设计的基本知识,基本的设计方法,学会使用专用软件进行集成电路设计,学习集成电路版图的设计及物理验证的一般方法技巧。本次集成电路设计综合实验要求学生完成对CMOS 集成运算放大器电路的版图设计及其物理验证。 2 综合实验的内容和要求 2.1 实验的内容 本次集成电路设计综合实验的内容为:CMOS 集成运算放大器的版图设计以及采用DIVA工具进行物理验证。版图设计的过程是:先进行电路分析,计算出各端点的电压及各管的电流,从而求出各管的W/L比,进而依据设计规则设计各管图形,进行布局、布线以及物理验证,最后完成整个版图设计。 2.1.1 目标电路及其性能要求 目标电路原理图如图1所示,为两级CMOS集成运算放大器,其中M1~M4构成有源负载的差分输入级;M5提供该级的工作电流;M8,M9构成共源放大电路,作为输出级;M7为源跟随器,作为增益为1的缓冲器,以克服补偿电容的前馈效应,并消除零点;M6提供M7的工作电流;M10,M11组成运放的偏置电路。

图1 CMOS 集成运算放大器原理图 电路的性能要求:输出电压摆幅大于V 3±;最大转换速率为s V μ/30;补偿电容Cc 为10pF 。 2.1.2 工艺选择 本设计选择0.6um double metal double poly mixed signal technology 。 工艺信息描述: 工艺名称:6S06DPDM-CT 工艺尺寸:0.6um 多晶硅层数:2 铝的层数:2 电压类型: 3~5V 工艺参数: )/(4002s V cm N ?=μ,)(2002s V cm P ?=μ,01.0=λ,28/103.2cm F C ox -?=,V V TP 1-=,V V TN 1=。 假定V V GS 5.2=时,晶体管进入饱和工作状态。 2.1.3 版图设计的一般方法和技巧 实际电路和原理图毕竟有所差别,各种非理想因素会影响电路的性能,使之偏离设计目标。因此,我们先要了解实际电路中各种非理想因素存在的原因,以及它对电路造成的影响。然后,同时从仿真和版图两个方面入手来解决这个问题。一方面,在电路设计中

集成电路版图设计

《集成电路版图设计》 学院:_____________ 专业班级:_____________ 学号:_____________ 学生姓名:_____________ 指导教师:_____________

摘要 什么是集成电路?把组成电路的元件、器件以及相互间的连线放在单个芯片上,整个电路就在这个芯片上,把这个芯片放到管壳中进行封装,电路与外部的连接靠引脚完成。 什么是集成电路设计?根据电路功能和性能的要求,在正确选择系统配置、电路形式、器件结构、工艺方案和设计规则的情况下,尽量减小芯片面积,降低设计成本,缩短设计周期,以保证全局优化,设计出满足要求的集成电路。 《集成电路版图设计》基于Cadence软件的集成电路版图设计原理、编辑和验证的方法。本次实验是基于Cadence版图设计软件平台,采用L50C7工艺库,设计一个运算放大器,并且,为了防止电路中各元件间产生闩锁效应,在实际生产流片中每个元件都应该添加保护环,以防止各元件间电流之间产生各种影响。并且增加电路的稳定性和可靠性。 电路的验证采用的是Calibre验证工具,对电路版图进行了DRC验证和LVS验证。 关键词:Calibre,运算放大器

目录 一、电路设计流程 (1) 二、版图的制作流程 (2) 三、二级运算放大器的原理图 (3) 四、器件尺寸的计算 (4) 五、二级运算放大器原理图 (5) 六、二级运算放大器版图 (9) 心得体会 (11) 参考文献 (12)

一、电路设计流程 设计规范 行为级描述 RTL描述(HDL) 功能验证与测试 逻辑组合 门级网表 逻辑验证与测试 布局布线 画物理版图 版图验证 生产 设计规范是为了确定电路要应用的工艺,和所需要的指标。这些指标包括:电源电压、功耗、增益、带宽、失真、噪声、输入输出动态范围、电路面积等。行为级描述是实现系统功能所必须的编辑。然后实行RTL描述,功能验证和测试,进行对错误的排除,再设计逻辑组合和门级网表,验证这些组合和网表是否正确,所有都正确了后进行布局布线,画出版图,再验证版图的准确性,就可以进行流片生产。

相关文档
最新文档