IC时序分析和基础知识总结

合集下载

静态时序分析基础及应用1(下)

静态时序分析基础及应用1(下)

前言在制程进入深次微米世代之后,晶片(IC)设计的高复杂度及系统单晶片(SOC)设计方式兴起。

此一趋势使得如何确保IC品质成為今日所有设计从业人员不得不面临之重大课题。

静态时序分析(Static Timing Analysis简称STA)经由完整的分析方式判断IC是否能够在使用者指定的时序下正常工作,对确保IC品质之课题,提供一个不错的解决方案。

在「静态时序分析(Static Timing Analysis)基础及应用(上)」一文中笔者以简单叙述及图例说明的方式,对STA的基础概念做了详尽的说明。

接下来,就让我们藉由实际设计范例来瞭解STA在设计流程的应用。

设计范例说明设计范例为一个32bit x 32bit的Pipeline乘法器,其架构如图一所示。

Pipeline共分3级,电路之输出输入端皆有暂存器储存运算数值。

图一依据Cell-based设计的方式,首先以硬体描述语言设计图一之电路。

接下来实作此电路,进行合成(Synth esis)及布局与绕线(P&R)。

并在实作的各步骤后进行静态时序分析,确认时序规格是否满足。

实作及验证所用到的软体及设计资料库如下所示:∙合成:Synopsys TM Design Compiler∙布局与绕线:Synopsys TM Astro∙设计资料库:Artisan TM0.18um Cell Library在接下来的文章中,各位将会看到静态时序分析在实作过程中的应用。

藉由实际产生的数据瞭解在不同实做步骤上时序分析的差异。

时序限制(Timing Constraint)要作静态时序分析,首先要有时序限制。

此设计范例的时序限制如下所述。

(→后為设定时序限制之SD C指令)1 时脉规格(Clock Specification)1.1 週期:6ns →create_clock -name "MY_CLOCK" -period 6 -waveform {0 3} [get_ports {clk}]1.2 Source Latency:1ns →set_clock_latency -source 1 [get_clocks {MY_CLOCK}]1.3 Network Latency:1ns →set_clock_latency 1 [get_clocks {MY_CLOCK}]1.4 Skew:0.5ns →set_clock_uncertainty 0.5 [get_clocks {MY_CLOCK}]2 周边状况(Boundary Condition)2.1 输入延迟(Input Delay):1.2ns →set allin_except_CLK [remove_from_collection [all_inputs] [get_po rts clk] ]set_input_delay $I_DELAY -clock MY_CLOCK $allin_except_CLK2.2 输出延迟(Output Delay):1.2ns →set_output_delay $O_DELAY -clock MY_CLOCK [all_outputs]2.3 输出负载(Output Loading):0.5pF →set_load $O_LOAD 0.5 [all_outputs]3 时序例外(Timing Exception):无合成软体之时序报告当Synopsys Design Compiler将电路合成完毕后,执行下面指令可以產生时序报告:report_timing -path full -delay max -max_paths 10 -input_pins \-nets -transition_time -capacitance > timing_syn.txt时序报告会储存在timing_syn.txt此档案中。

IC设计基础-综合

IC设计基础-综合

一、综合的概念用verilog或者vhdl设计电路,需要将语言描述转换为电路图描述,即用芯片制造商提供的基本电路单元(综合库)实现我们用硬件描述语言(verilog或vhdl)描述的(RTL级)电路的功能,这个过程就称为综合。

1.综合的步骤●转译(Translation):读入电路的RTL级描述,将语言转译成每条语句所对应的功能块以及功能块之间的拓扑结构,这一过程的结果是在综合器内部生成电路的布尔函数的表达,不做任何的逻辑重组和优化。

●优化(optimization):基于所施加的一定时序和面积的约束条件,综合器按照一定的算法对转译结果作逻辑重组和优化。

●映射(mapping):根据所施加的一定的时序和面积的约束条件,综合器从目标工艺库(Target Technology)中搜索符合条件的单元来构成实际电路。

由芯片制造商(Foundry)提供的工艺库,是一系列的基本单元,如与非、或非、反相器、锁存器、触发器、选择器等等。

对这些单元的电气可以进行描述,例如:单元的面积、输入电容。

输出端的驱动能力、单元的逻辑能力、单元的时序等等。

综合的目标就是用工艺库文件提供的这些单元来实现用RTL代码描述的逻辑功能,并满足设计者提出的面积和时序要求。

2.对设计者的要求一个合格的设计者,应该能够在自己脑子里再现“转译”这个过程,即清楚自己用HDL 语言所构造的电路结构是什么样子的。

写代码时,请时刻记住三个准则:“think hardware”:要时刻想着代码所描述的电路结构,熟悉不同代码的结构,清楚通过综合能获得怎样的硬件实现,这个是芯片设计者所必须具备的素质。

同时必须记住,综合器无法帮助用户实现功能,无法做算法或功能的优化,而只能够在已有功能的基础上按照用户的要求选择较优的实现。

“think synchronous”:要时刻关心电路的同步问题。

同步电路设计的有点在于系统中信号流的可预见性,因此避免了诸如时序设定和实现上的困难。

数字ic soc中的上下电时序

数字ic soc中的上下电时序

一、ic soc中的上下电时序概述IC SOC(System on Chip)是指将多个功能集成在一个芯片上的集成电路系统,它具有高性能和低功耗的特点,广泛应用于电子产品中。

在IC SOC的设计和使用中,上下电时序是非常重要的,它直接影响着芯片的性能和稳定性。

本文将从上下电时序的概念、原理和设计要点等方面进行介绍。

二、上下电时序的概念上下电时序是指IC SOC在工作过程中的上电和下电时序。

上电时序是指芯片在上电过程中各个电源和信号的建立时间。

下电时序是指在断电过程中芯片各个模块的关闭时间。

上下电时序对芯片的正常工作、稳定性和寿命等都有重要影响。

三、上下电时序的原理在IC SOC中,上下电时序的原理主要包括内部模块的电源管理、时钟树的同步和数据传输的稳定等。

在上电时,各个模块需要按照一定的顺序建立电源和信号,以保证芯片整体的稳定和正常工作。

在下电时,各个模块也需要按照一定的时序进行关闭,以避免数据丢失和系统崩溃。

四、上下电时序的设计要点1. 测试和验证:在设计IC SOC时,需要对上下电时序进行充分的测试和验证,以保证芯片在各种情况下都能正常工作。

2. 时序规划:在设计芯片的时候,需要对上下电时序进行合理的规划,以确保芯片的稳定性和可靠性。

3. 约束设置:在设计工具中,需要对上下电时序进行严格的约束设置,以保证芯片的上下电时序满足实际需求。

五、上下电时序的实际应用在实际应用中,上下电时序的设计和验证是IC SOC设计工程师需要重点关注的内容。

只有合理设计和严格验证上下电时序,才能保证芯片的正常工作和稳定性。

六、总结上下电时序是IC SOC设计中的重要环节,它直接关系到芯片的性能和稳定性。

设计工程师需要充分理解上下电时序的概念、原理和设计要点,从而在实际工作中能够合理规划和有效实施上下电时序的设计和验证工作。

只有这样,才能保证IC SOC在各种应用场景下都能够正常工作和稳定运行。

七、上下电时序的调整和优化在实际应用中,为了进一步提高IC SOC的性能和稳定性,设计工程师需要对上下电时序进行调整和优化。

数字ic后端的基础概念

数字ic后端的基础概念

数字ic后端的基础概念数字集成电路(IC)后端设计涉及到电子芯片的制造和验证阶段,包括物理设计、布局、验证、封装和测试等方面。

以下是数字IC后端设计的一些基础概念:1. 物理设计:物理设计是指将逻辑设计转换为实际的物理结构,包括电路布局和布线。

这一阶段包括:•综合:将高级综合(HLS)或逻辑综合的输出转换为门级电路。

•布局:安排电路元素的物理位置,以满足性能、功耗和面积等要求。

•布线:建立电路中的互连路径,以确保信号能够正确传输。

2. 时序分析:时序分析用于评估电路中信号传输的时序特性,确保电路在规定的时钟频率下正常运行。

3. 功耗分析:对芯片的功耗进行估算和优化,以确保在预定的功耗范围内运行。

4. 静态时序分析(STA): STA 用于分析电路的时序特性,确保信号在规定的时间限制内到达目的地。

5. 时钟树合成:时钟树合成是设计时钟系统的一部分,确保时钟信号在整个芯片上均匀分布,以减小时钟信号的延迟差异。

6. 物理验证:确保物理设计满足设计规范和约束,包括设计规则检查(DRC)和佈线规则检查(LVS)。

7. 封装和测试:完成物理设计后,芯片被封装成集成电路封装,并进行测试以确保质量和性能。

8. 设计规则:设计规则是在物理设计阶段需要满足的约束,通常由制造厂商提供。

这些规则涉及到最小尺寸、最小间距等。

9. 电磁兼容性(EMC): EMC 是考虑电磁场相互影响,防止电磁干扰的重要概念。

10. 设计闭环:后端设计通常需要与前端设计进行密切合作,确保物理设计满足逻辑设计的要求。

这些是数字IC后端设计中的一些基础概念,实际的后端设计流程可能会更加复杂,具体取决于芯片的复杂性和应用领域。

IC基础(六):时序分析过程需要的相关计算以及处理方法

IC基础(六):时序分析过程需要的相关计算以及处理方法

IC基础(六):时序分析过程需要的相关计算以及处理⽅法时序分析的基本步骤:⼀个合理的时序约束可以分为以下步骤: 时序约束整体的思路如下:1. 先是约束时钟,让软件先解决内部时序问题;(在这⼀步骤中可以适当加⼊时序例外,以便时序通过)2. 然后再加⼊IO的延迟约束;3. 最后针对没有过的时序,添加时序例外。

1、 IO⼝的建⽴时间与保持时间1.1 输⼊延迟外部器件发送数据到FPGA系统模型如下图所⽰。

对FPGA的IO⼝进⾏输⼊最⼤最⼩延时约束是为了让FPGA设计⼯具能够尽可能的优化从输⼊端⼝到第⼀级寄存器之间的路径延迟,使其能够保证系统时钟可靠的采到从外部芯⽚到FPGA的信号。

输⼊延时即为从外部器件发出数据到FPGA输⼊端⼝的延时时间。

其中包括时钟源到FPGA延时和到外部器件延时之差、经过外部器件的数据发送Tco,再加上PCB板上的⾛线延时。

如下图所⽰,为外部器件和FPGA接⼝时序。

最⼤输⼊延时(input delay max)为当从数据发送时钟沿(lanuch edge)经过最⼤外部器件时钟偏斜(Tclk1),最⼤的器件数据输出延时(Tco),再加上最⼤的PCB⾛线延时(Tpcb),减去最⼩的FPGA时钟偏移(FTsu)的情况下还能保证时序满⾜的延时。

这样才能保证FPGA的建⽴时间,准确采集到本次数据值,即为setup slack必须为正,计算公式如下式所⽰: Setup slack =(Tclk + Tclk2(min))–(Tclk1(max) +Tco(max) +Tpcb(max) +FTsu)≥0 (1)最⼩输⼊延时(input delay min)为当从数据发送时钟沿(lanuch edge)经过最⼩外部器件时钟偏斜(Tclk1),最⼩器件数据输出延时(Tco),再加上最⼩PCB⾛线延时(Tpcb),此时的时间总延时值⼀定要⼤于FPGA的最⼤时钟延时和建⽴时间之和,这样才能不破坏FPGA 上⼀次数据的保持时间,即为hold slack必须为正,计算公式如下式所⽰: Hold slack = (Tclk1(min) + Tco(min) + Tpcb(min))–(FTh + Tclk2(max))≥ 0 (2)我们很容易就可以从公式(1)和(2),推到出(3) Tclk – Ftsu ≥Tclk1 - Tclk2 + Tco + Tpcb ≥ FTh (3)在公式(3)中,我们发现Tclk 、Ftsu以及FTh,对于⼯具来说是已知的,⽽Tclk1 - Tclk2 + Tco + Tpcb正是我们需要告知综合⼯具的延迟量。

时序实验报告总结

时序实验报告总结

时序实验报告总结时序实验报告总结时序实验是计算机科学中的一项重要实验,旨在通过设计和实现时序电路,来加深对数字电路和时序逻辑的理解。

本文将对我在时序实验中的学习和总结进行分享。

实验一:时序电路设计在时序电路设计实验中,我通过学习时序逻辑的基本概念和设计原理,成功完成了一个简单的时序电路设计。

通过该实验,我深入理解了时钟信号、触发器和状态机的概念,并学会了使用Verilog语言进行时序电路的建模和仿真。

实验二:时序电路优化时序电路优化实验是进一步提高时序电路设计能力的关键一步。

在该实验中,我通过对已有电路的分析和优化,实现了电路的性能提升。

通过优化电路的关键路径,我成功降低了电路的延迟,并提高了电路的工作速度。

实验三:时序电路测试时序电路测试是保证电路正确性的重要环节。

在该实验中,我学会了使用测试向量和模拟器对时序电路进行测试。

通过设计全面的测试用例和检查电路的输出波形,我成功发现和解决了电路中的一些问题,并提高了电路的稳定性和可靠性。

实验四:时序电路综合时序电路综合是将逻辑电路转化为物理电路的过程。

在该实验中,我学会了使用综合工具将Verilog代码转化为门级电路,并通过对综合结果的分析和优化,提高了电路的面积效率和功耗性能。

实验五:时序电路布局与布线时序电路布局与布线是将逻辑电路映射到芯片上的过程。

在该实验中,我学会了使用布局与布线工具对电路进行布局和布线,并通过对布局和布线结果的分析和优化,提高了电路的可靠性和稳定性。

实验六:时序电路验证时序电路验证是验证电路设计的正确性和可靠性的重要环节。

在该实验中,我学会了使用仿真和验证工具对电路进行验证,并通过对验证结果的分析和优化,提高了电路的正确性和稳定性。

通过以上实验,我深入了解了时序电路的设计、优化、测试、综合、布局与布线以及验证等方面的知识和技能。

通过实践和总结,我不仅提高了对时序电路的理解和掌握,还培养了问题解决和创新能力。

时序实验的学习过程中,我还遇到了一些挑战和困惑。

芯片设计需要的知识点

芯片设计需要的知识点

芯片设计需要的知识点芯片设计是一门复杂而精密的工程,需要掌握多个知识领域的基础和专业知识。

本文将介绍芯片设计所需的主要知识点,以帮助初学者理解和入门芯片设计。

一、电子学基础知识1.1 电路理论:芯片设计离不开电路理论的基础,掌握电流、电压、电阻等基本概念,了解欧姆定律、基尔霍夫定律等电路理论原理。

1.2 逻辑电路:理解逻辑门电路,如与门、或门、非门等,了解组合逻辑和时序逻辑电路的设计方法。

1.3 模拟电路:了解模拟电路设计原理,如放大电路、滤波电路等,熟悉常见的放大器、滤波器等电路的设计和特性。

二、计算机体系结构知识2.1 计算机组成原理:了解计算机的基本组成部分,如中央处理器(CPU)、存储器、输入输出设备等,熟悉计算机指令和指令的执行过程。

2.2 微处理器架构:掌握微处理器的工作原理和内部结构,了解CPU的指令系统、寄存器、流水线等。

2.3 性能优化:了解性能优化的方法和技术,如流水线设计、指令级并行等,能够通过对芯片结构和设计的优化来提高芯片的性能。

三、数字电路设计知识3.1 布尔代数和逻辑门:掌握布尔代数的基本原理,了解与门、或门、非门等基本逻辑门的特性和应用。

3.2 状态机设计:理解有限状态机的概念和设计方法,熟悉状态图、状态转移表等状态机的表示方法。

3.3 时序逻辑设计:了解时钟信号、触发器、时序逻辑电路的设计和应用,能够进行时序逻辑的设计和分析。

四、模拟电路设计知识4.1 放大器设计:熟悉各种放大电路的设计和特性,如低频放大器、高频放大器等。

4.2 滤波器设计:了解滤波器的设计原理和常见的滤波器类型,如低通滤波器、高通滤波器、带通滤波器等。

4.3 数据转换器设计:了解模数转换器(ADC)和数模转换器(DAC)的设计原理和性能指标,能够进行数据转换器的设计和优化。

五、集成电路设计知识5.1 CMOS工艺:了解CMOS工艺的原理和制程流程,熟悉CMOS器件的特性和参数。

5.2 器件模型:理解器件模型的建立和使用,如MOS模型、BJT模型等,能够进行器件级的仿真和验证。

芯片设计后端知识点

芯片设计后端知识点

芯片设计后端知识点芯片设计的后端阶段是一个非常重要的环节,它涉及到芯片的物理实现和验证。

在这个阶段,设计工程师需要熟悉一系列关键的知识点,以确保芯片的性能、功耗和可靠性等方面得到有效的优化。

本文将介绍几个与芯片设计后端相关的重要知识点。

一、物理实现物理实现是芯片设计的核心环节,它包括了门级综合、布局布线和时序分析等步骤。

1. 门级综合门级综合是将逻辑门级的RTL描述转化为门级网表的过程,其目的是为了将逻辑电路实现为具体的门级电路。

在门级综合过程中,需要考虑到电路延时、功耗和面积等因素,以达到设计的性能指标。

2. 布局布线布局布线是将门级网表转化为物理结构的过程,包括将逻辑电路布置在芯片上,并且进行电路的连线。

布局布线的目标是最小化电路面积,同时保证电路的正常工作。

3. 时序分析时序分析主要是为了确保电路在不同的时钟周期下能够正确工作。

在时序分析中,需要考虑到时钟信号的传输延迟、电路中的时序约束等因素。

通过时序分析,可以预测电路的性能和稳定性。

二、功耗优化功耗是芯片设计中一个非常重要的指标,尤其是在移动设备和低功耗应用中。

在芯片设计的后端阶段,需要采取一系列的措施来对功耗进行优化。

1. 时钟树设计时钟树是芯片中用于分发时钟信号的网络。

设计工程师需要通过合理的时钟树设计,减少时钟信号的传输延迟,从而降低功耗。

2. 电源网设计电源网是芯片中用于供电的网络。

设计工程师需要通过合理的电源网设计,减小供电噪声和功耗损耗,以提高芯片的可靠性和效率。

3. 功耗分析和优化在物理实现的过程中,需要进行功耗分析,以确定芯片的功耗情况,并采取相应的措施进行优化。

例如,通过减少电流泄漏、优化电路结构等方式来降低功耗。

三、时序约束时序约束是芯片设计中的重要指导,它规定了电路中各个信号的时序关系,确保电路能够按照指定的时钟周期进行工作。

1. 输入输出延迟时序约束中需要考虑到输入输出的延迟情况,即在输入信号发生变化后,相应输出信号需要在指定的时间内出现。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
在起始信号后必须传送一个从机的地址(7位), 第8位是数据的传送方向位(R/),用“0”表示主机 发送数据(T),“1”表示主望继续占用总线进行新的数据传送,则可 以不产生终止信号,马上再次发出起始信号对另一 从机进行寻址。
c、在传送过程中,当需要改变传送方向时, 起始信号和从机地址都被重复产生一次,但 两次读/写方向位正好反相。
4、总线的寻址 I2C总线协议有明确的规定:采用7位的寻址字
节(寻址字节是起始信号后的第一个字节)。 (1)寻址字节的位定义
D7~D1位组成从机的地址。D0位是数据 传送方向位,为“0”时表示主机向从机写数 据,为“1”时表示主机由从机读数据。
3、数据传送格式 (1)字节传送与应答
每一个字节必须保证是8位长度。数据传送时,先传 送最高位(MSB),每一个被传送的字节后面都必须跟 随一位应答位(即一帧共有9位)。
由于某种原因从机不对主机寻址信号应答时(如从机 正在进行实时性的处理工作而无法接收总线上的数据), 它必须将数据线置于高电平,而由主机产生一个终止信号 以结束总线的数据传送。
每个器件都有一个唯一的地址识别,而且都可以作为一个 发送器和接收器(由器件的功能决定)。很明显,LCD驱动器 只能是接收器,而存储器既可以接收又可以发送数据。
• 连接到I2C总线上的器件,若具有I2C总线的硬件接口,则
很容易检测到起始和终止信号。对于不具备I2C总线硬件接 口的有些单片机来说,为了检测起始和终止信号,必须保证
•主机发送地址时,总线上的每个从机都将这7 位地址码与自己的地址进行比较,如果相同, 则认为自己正被主机寻址,根据R/位将自己确 定为发送器或接收器。
•从机的地址由固定部分和可编程部分组成。 在一个系统中可能希望接入多个相同的从机,
从机地址中可编程部分决定了可接入总线该类 器件的最大数目。如一个从机的7位寻址位有 4位是固定位,3位是可编程位,这时仅能寻 址8个同样的器件,即可以有8个同样的器件 接入到该I2C总线系统中。
第二字节为 04H时,所有能响应通用呼叫地址并通过硬件 来定义其可编程地址的从机器件将锁定地址中的可编程位, 但不进行复位。
如果第二字节的方向位B为“1”,则这两个字节命令称 为硬件通用呼叫命令。
在这第二字节的高7位说明自己的地址。接在总线上的智 能器件,如单片机或其他微处理器能识别这个地址,并与 之传送数据。硬件主器件作为从机使用时,也用这个地址 作为从机地址。格式为:
但如果从机要完成一些其他功能后才能接收或发送下一个完 整的数据字节,那么可以使时钟信号保持低电平迫使主机进入等 待状态。这也是唯一一个从机改变主机时钟的情况。
当从机准备好接受下一个字节时,释放时钟线SCL,数据传 输继续。
(2)数据帧格式 I2C总线上传送的数据信号是广义的,既包括地址
信号,又包括真正的数据信号。
如果从机对主机进行了应答,但在数据传送一段时间后 无法继续接收更多的数据时,从机可以通过对无法接收的 第一个数据字节的“非应答”通知主机,主机则应发出终 止信号以结束数据的继续传送。
当主机接收数据时,它收到最后一个数据字节后,必须 向从机发出一个结束传送的信号。这个信号是由对从机的 “非应答”来实现的。然后,从机释放SDA线,以允许主 机产生终止信号。
I2C(Inter-Integrated Circuit)总线是由PHILIPS公司(现在的NXP半导体)开发的两 线式串行总线,用于连接微控制器及其外围设备。是微电子通信控制领域广泛采用的一种 总线标准。它是同步通信的一种特殊形式,具有接口线少,控制方式简单,器件封装形式 小,通信速率较高等优点。
在每个时钟周期内对数据线SDA采样两次。
• 接收器件收到一个完整的数据字节后,有可能需要完成 一些其它工作,如处理内部中断服务等,可能无法立刻接收 下一个字节,这时接收器件可以将SCL线拉成低电平,从而 使主机处于等待状态。直到接收器件准备好接收下一个字节 时,再释放SCL线使之为高电平,从而使数据传送可以继续 进行。
2、起始和终止信号
SCL线为高电平期间,SDA线由高电平向低电 平的变化表示起始信号;SCL线为高电平期间, SDA线由低电平向高电平的变化表示终止信号。
SDA线上的数据状态仅在SCL为低电平的期间才能改变,SCL为高电 平的期间,SDA状态的改变被用来表示起始和停止条件。
I2C 总线是双向传输的总线,因此主机和从机都可能成为发 送器和接收器。如果主机向从机发送数据,则主机是发送器, 而从机是接收器;如果主机从从机读取数据,则主机是接收器, 而从机是发送器。不论主机是发送器还是接收器,时钟信 号 SCL 都要由主机来产生。
在总线的一次数据传送过程中,可以有以下几种 组合方式:
a、主机向从机发送数据,数据传送方向在整 个传送过程中不变:
注:有阴影部分表示数据由主机向从机传送,无阴影部分则 表示数据由从机向主机传送。
A表示应答, A表示非应答(高电平)。S表示起始信号, P表示终止信号。
b、主机在第一个字节后,立即由从机读数据
I2C总线只有两根双向信号线。一根是数据线SDA, 另一根是时钟线SCL。
I2C总线通过上拉电阻接正电源。当总线空闲时,两根线 均为高电平。连到总线上的任一器件输出的低电平,都将使 总线的信号变低,即各器件的SDA及SCL都是线“与”关系。
1、数据位的有效性规定
I2C总线进行数据传送时,时钟信号为高电平期间,数据 线上的数据必须保持稳定,只有在时钟线上的信号为低电 平期间,数据线上的高电平或低电平状态才允许变化。
(2)寻址字节中的特殊地址
固定地址编号0000和1111已被保留作为特 殊用途。
起始信号后的第一字节的8位为“0000 0000”时, 称为通用呼叫地址。通用呼叫地址的用意在第二字节 中加以说明。格式为:
第二字节为 06H时,所有能响应通用呼叫地址的从机器件 复位,并由硬件装入从机地址的可编程部分。能响应命令的 从机器件复位时不拉低SDA和SCL线,以免堵塞总线。
相关文档
最新文档