EDA电子密码锁设计报告
EDA电子密码锁课设

EDA课程设计报告书题目:电子密码锁成绩:设计题目:电子密码锁设计要求:1.用10个按键代表0~9,输入后应转换为8421BCD码,如按“6”后转换为“0110”;2.密码位数为6位,具有密码设置和修改功能;3.开锁和报警均有LED灯指示,且报警有声音提示,报警音频率为750Hz,每鸣叫1秒停1秒,共鸣叫5响;4.用6个数码管显示输入的密码。
设计步骤:1.电路模块化。
将要实现的功能电路分成若干小的功能模块,各自实现自己的功能。
2.设计具体化。
具体设计各个模块,然后将模块组合起来,完成课程设计的要求。
3.设计实现。
将电路下载到实验箱上,实现设计的电路。
设计过程及内容总体设计文字描述:总体设计共分为4个模块,分别为密码输入模块,密码校验模块,报警模块,输出显示模块。
主要模块描述:i.密码输入模块:密码输入模块电路图如图所示:初始密码保存仿真图如图所示:密码输入检验时仿真图如图所示:输入模块主要采用优先编码器,优先编码器允许输入信号多路同时有效,对多路信号的优先级别预先进行排队,同一时刻只对优先级别最高的进行编码,即优先级别低的输入信号只有在优先级别高的信号都为无效信号时,才能编码。
因此采用74148优先编码器,把从键盘的十进制输入转化成电路系统内部的二进制输入。
由D触发器构成的寄存器用来存储密码。
仿真时,当输入端bu3给低电频时,电路进行保存。
当输入端bu2给低电频时,电路进行检验。
ii.密码校验模块:校验模块电路图如图所示:校验模块比较相等时仿真图:校验模块比较不相等时仿真图:校验模块上部分连向输入检验模块,下部分连向输入的保存模块,用74194双向移位寄存器进行输入,输入后按下确定键,检验部分与保存部分开始比较,当保存部分与检验部分结果相等时,输出结果为1,此时报警模块中绿灯亮,当保存部分与检验部分结果不相等时,输出结果为0,此时红灯亮,蜂鸣器间歇报警。
iii.报警模块:报警模块电路图如图所示:分频模块与蜂鸣模块如下图所示:实验箱可提供732hz的频率,因此通过3个计数器74161进行分频得到1hz频率,作为蜂鸣模块的时钟。
EDA电子密码锁设计报告

目录摘要: ................................................ 错误!未定义书签。
第一章:系统设计总述 ............................................... - 1 - 1。
1设计要求.................................................. - 1 - 1。
2 设计方案 ................................................. - 1 - 第二章:总体程序设计 .............................................. - 2 - 2。
1整体组装设计原理图........................................ - 2 - 2。
2 顶层模块程序调用 ......................................... - 2 -2.2。
1程序部分............................................ - 2 -2.2。
2 整体原理文件 ....................................... - 5 - 第三章:单元模块程序设计 .......................................... - 6 -3.1 输入模块 .................................................. - 6 -3.1.1 输入模块程序 ....................................... - 6 -3。
1.2输入模块元件........................................ - 7 -3。
1.3输入模块仿真........................................ - 7 -3.2 电子密码锁系统控制模块 ................................... - 8 -3。
EDA课程设计报告电子密码锁设计

目录第1章概述 (3)第2章设计要求 (4)第3章总体框图 (5)第4章功能模块 (7)4.1 输入模块 (7)4.2 控制模块 (11)4.3 显示模块 (17)第5章总体设计电路图 (20)第6章设计心得体会 (20)第1章概述在当今社会中,人们对于隐私的保护和对物品安全的重视程度与日俱增。
因此,使用了现代电子技术的电子密码锁便有了广泛的应用前景。
本次所设计的电子密码锁,能够实现该六位十进制并行密码保护,当输入的密码与锁内密码一致时,绿灯亮,开锁,当输入的密码与锁内的密码不一致时,红灯亮,不能开锁。
密码锁的密码可由用户自行设置,如果用户忘记密码,可以用初始密码来重新设置密码。
为人们的财产、信息安全提供了可靠地保障。
本次设计基于VHDL语言,对并行六位电子密码锁进行设计,并对设计过程进行了详细描述。
电子密码锁在对财产安全保护等方面都有着重要作用应用前景非常广泛。
开锁代码为六位十进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯。
否则,系统进入“错误”状态。
开锁程序由设计者确定,并要求锁内给定的密码是可调的且预置方便、保密性好。
在这我将设计一个具有较低成本的电子密码锁,本文讲述了我整个设计过程及收获。
讲述了电子密码锁的的工作原理以及各个模块的功能,并讲述了所有部分的设计思路,对各部分电路方案的选择、元器件的筛选、以及对它们的调试、对波形图的分析,到最后的总体图的分析。
第2章设计要求本设计名称为基于VHDL语言的电子密码锁,用四个模块,分别为时序控制模块、输入模块、控制模块、显示模块,来控制密码的输入、验证、修改与显示。
设计所要实现的功能为:1、数码输入:设计六位密码的电子密码锁,用四个拨码开关(key1~key4)输入,并通过七段数码管显示输入密码。
2、密码验证:输入密码后, 密码正确时开锁,绿灯亮,红灯灭,表示开锁成功。
3、错误显示:当密码输入错误时,绿灯灭,红灯亮,表示开锁失败。
EDA数字密码锁课设报告

《电子设计自动化》课程设计题目:数字密码锁电路(1.1)院(系)信息科学与工程学院专业通信工程届别 2015级班级通信工程1班学号 1515231029 姓名聂海杰任课老师彭盛亮目录第一章概述 (3)第二章系统设计 (3)2.1 设计要求 (3)2.2 系统设计方案 (3)第三章单元电路设计 (5)第四章软件设计 (8)4.1 软件设计平台 (8)4.2 各部分的程序 (8)第五章系统测试 (19)5.1 编译报告 (19)5.2 密码输入及其仿真波形 (19)5.3 倒计时仿真波形 (20)5.4 管脚设置 (21)5.5 实际演示 (21)第六章设计问题与解决方案 (23)第七章设计心得与体会 (24)参考文献 (25)附录 (26)第一章摘要本次设计是设计一个数字密码锁电路,即串行输入6位十进制数,能够设置密码,同时输出在LED数码管上显示,并且有密码正确与错误的提示和错误次数的显示,如果连续3次输入错误,则在15分钟内不能开锁。
为了方便、有效的实现该密码锁的功能,此次设计利用QUARTUS Ⅱ工作平台和VHDL语言,定制了LPM宏功能模块,通过设计了好几个实现不同功能的模块,分别为按键消抖模块,密码输入模块,密码校对模块,LED灯选择模块,LED译码模块,LED选择控制模块和倒计时模块,利用各个模块之间的有机组合,最终完成数字密码锁电路的设计。
第二章系统设计2.1设计要求:6位十进制数字密码,串行输入;能设置密码;有开锁和错误指示(LED);如果连续输错三次,则一刻钟内不能再开锁。
2.2系统设计方案:方案一:第一部分:首先设计一个密码位数选择模块,初始值为输入密码第一位,当按键按下时密码位数选择加一,跳到密码第二位,以此类推完成六位密码的输入,然后设计一个十进制计数器,其作用是按下键选择0~9中的一个输入到密码锁中,其次是设计一个密码比较器,如果密码相同,第一个LED灯显示一则表示密码输入正确,否则第一的LED灯显示0且第二个LED灯显示出错误的次数,如果连续3次输入错误,会触发一个信号使倒计时开始工作且把密码输入模块和密码比较模块关闭。
基于EDA技术的电子密码锁设计

基于EDA技术的电子密码锁设计基于EDA 技术设计的电子密码锁,以其价格便宜、安全可靠、使用方便,受到了人们的普遍关注。
而以现场可编程逻辑器件(FPGA)为设计载体,以硬件描述语言(VHDE)为主要表达方式,以QuartusⅡ开发软件和GW48EDA 开发系统为设计工具设计的电子密码锁,由于其能够实现数码输入、数码清除、密码解除、密码更改、密码上锁和密码解除等功能,因此,能够满足社会对安全防盗的要求。
1 电子密码锁的基本功能电子密码锁主要实现的功能包括:(1)数码输入:按下一个数字键,其对应的数字就显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。
设计密码为4 位,系统只能显示前4 位输人的数码。
(2)数码清除:当按下清除键时,清除前面输入的所有值,并显示为--- -。
(3)密码解除:按下55#键,可以将电子密码锁的旧密码解除。
(4)密码更改:将旧密码解除之后,可以进行密码更改。
输入任意四位密码数字,再按#号就可以将输入的数码当作新的密码。
(5)密码上锁:输入新的密码之后,按下11# 键,可以进行密码上锁操作。
(6)密码解锁:按下99#键,再输入数码;如果输入与系统储存密码一致,密码锁就能开启;否则不能解锁。
2 电子密码锁的结构原理2.1 电子密码锁的整体结构电子密码锁的整体结构如图1 所示,它包括密码锁输入模块、控制模块和显示模块等。
2.2 密码锁输入模块密码锁输入模块的电路框图如图2 所示,它由时序产生电路、键盘扫描电路、弹跳消除电路、键盘译码电路和按键存储电路组成。
时序产生电路用于产生电路中三种不同频率的工作脉冲波形,包括系统时钟信号、弹跳消除取样信号和键盘扫描信号。
键盘电路可提供键盘扫描信号。
该信号由ky3~ky0 进入键盘,其变化的顺序为1110-1101-1011-0111-1110 周而复始。
扫描信号0111 代表扫描的为*、0、#这一排按键,当*这个按键被按下时,由kx2~kx0 读出的值为011。
EDA课设报告(14位密码锁)

EDA课程实习报告课程名称:EDA课程实习报告课程号:专业:班级:学号:学生姓名:指导教师:日期:课程设计任务书姓名学号班级学院课程EDA课程设计任务书题目简易14位数字密码锁控制电路设计目的1、14数字密码分成高7位(DH6-DH0)和低7位(DL6-DH0),用数字逻辑开关预置,输出信号out表示开锁,否则关闭。
2、14位数字密码分时操作,先预置高7位,然后再置入低7位。
3、要求电路工作可靠,保密性强,开锁出错立即报警,用声光两种形式同时报警。
4、利用maxplus2软件进行设计、编译、并在FPGA芯片上实现。
5、14位密码自己设定,比如:“10001010100010”。
本次设计采用本人学号后三位二进制8421码+两位:一班是01,二班10,三班11,四班00.设计要求1. 设计简易14位数字密码模块IC9A模块,模块IC9A采用VHDL实现。
2. 设计一个报警信号电路,方法不限。
3. 在IC9A模块基础上设计14位数字密码锁的顶层电路。
目录一、摘要--------------------------------------1二、课程设计目的-----------------------------2三、课程设计要求-----------------------------2四、设计与分析-------------------------------3五、译码电路设计-----------------------------6六、报警电路信号产生器----------------------12七、muxplusⅡ仿真----------------------------17八、试验箱下载测试---------------------------19九、课设心得----------------------------------22十、附件一:顶层原理图---------------------- 24 十一、附件二:管脚列表-------------------25一、摘要十四位数字密码锁是一个比较实用且实现目的明确效果明显的实验。
EDA报告-密码锁

………………………………………………………………………一、前言 (2)二、课程设计内容与原理分析 (3)三、控制程序设计 (5)四、工作进程及收获体会 (11)五、参考文献 (12)1:EDA简介EDA(electric design automation)即电子设计自动化,它是近来迅速发展起来的将计算机软件、硬件、微电子技术交叉运用的现代电子学科,是20世纪90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)、CAE(计算机辅助工程)的概念发展而来的。
EDA技术就是以计算机为工作平台、以EDA软件工具为开发环境、以硬件描述语言为设计语言、以ASIC为实现载体的电子产品自动化设计过程。
在EDA软件平台上,根据原理图或硬件描述语言HDL完成的设计文件,自动地完成编译、化简、分割、综合和优化、布局布线、仿真、目标芯片的适配编译、逻辑映射和编程下载等工作。
设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下,应用相应的CPLD/FPGA器件,就可以得到最后的。
尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。
当然这里的所谓EDA是狭义的EDA,主要是数字系统的自动化设计,因为这一领域软件硬件方面的技术已比较成熟,应用的普及程度也比高。
而模拟电子系统的EDA正在进入实战阶段,其初期的EDA工具不一定需要硬件描述语言。
此外,从应用的广度和深度来说,由于电子信息领域的全面数字化,基于EDA的数字系统的设计技术具有更大的应用市场和更紧迫的需求性。
课程设计内容和原理分析1:设计题目密码锁2:设计要求:1)——设计一个密码锁的控制电路,当输入正确代码时,输入开锁信号以推动执行机构工作,用红灯亮、绿灯灭表示关锁,用绿灯亮、红灯灭表示开锁;2)——在锁的控制电路中储存一个可以修改的4位密码,当开锁按钮开关(可设置成6位至8位,其中实际有效位为4位,其余为虚设)的输入代码等于储存代码时,开锁;3)——从第一个按钮触动后的5秒内若未将锁打开,则电路自动进入自锁状态,使之无法再打开,并由扬声器发出持续20S的报警信号3:设计要求分析及原理1):该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已涉密码相同。
五邑大学—EDA密码锁课程设计报告

五邑大学基于FPGA的数字系统设计报告题目:密码锁院系信息学院专业电子信息工学号13071814学生姓名江建钊指导教师赵顺喜一、设计的要求和意义1、实验目的:1)训练从概念到产品的设计全过程;2)综合运用各种设计方法。
2、实验原理和要求:图9-1 密码锁输入输出信号示意图图 9-1 k0~k9为数字键盘,用拨码开关实现(以为实验板上只有4个轻触开关),当按下ki=1时,代表一个对应i的BCD码。
setup为密码设置开关,用拨码开关实现,start_set为开始密码设置键,用轻触开关实现,当setup为高电平且start_set按下时为密码设置状态。
Start为开始密码输入键,用轻触开关实现,当setup为低电平且start按下时为密码输入状态。
Open为密码输入完成按键,当open按下,如果密码输入错误,输出信号lock=0,led1=0,led2=1(警示灯),spk=1(报警);如果密码输入正确,输出信号lock=1,led1=1,led2=0(警示灯),spk=0(报警)。
I_setup为复位按键,用轻触开关实现,当I_setup按下,强制将输出信号清零。
3、设计任务与要求:1)设计符合上述功能的密码锁控制电路;2)可以用各种设计方法;3)进行仿真;4)把设计下载到实验箱并验证。
二、系统设计1、软件设计流程及描述:图9-1 程序设计流程图图9-1设计流程可以分为3部分:密码设置、密码输入、密码输入结果判断和复位。
它们都是并发执行的。
2、程序源代码module jjz1(lock,led1,spk,led2,setup,start,start_set,open,k,I_setup);input[9:0] k;input start,setup,start_set,open,I_setup;output reg lock,led1,spk,led2;reg in,in_set;reg[3:0] code,c,c1,c2,c3,out1,out2,out3;reg[2:0] state,state_set;//*****************密码设置**************//always @(setup)beginbegincase(k)10'b00_0000_0001:begin c<=4'h0;in_set<=1;end //密码按键扫描按键;10'b00_0000_0010:begin c<=4'h1;in_set<=1;end10'b00_0000_0100:begin c<=4'h2;in_set<=1;end10'b00_0000_1000:begin c<=4'h3;in_set<=1;end10'b00_0001_0000:begin c<=4'h4;in_set<=1;end10'b00_0010_0000:begin c<=4'h5;in_set<=1;end10'b00_0100_0000:begin c<=4'h6;in_set<=1;end10'b00_1000_0000:begin c<=4'h7;in_set<=1;end10'b01_0000_0000:begin c<=4'h8;in_set<=1;end10'b10_0000_0000:begin c<=4'h9;in_set<=1;enddefault: begin c<=4'ha;in_set<=0;endendcaseendendalways @( posedge start_set or posedge in_set)beginif(start_set ) //beginstate_set<=0;c1<=4'hb;c2<=4'hb;c3<=4'hb;endelsecase(state_set)0:begin c1<=c;state_set<=1;end1:begin c2<=c;state_set<=2;end2:begin c3<=c;state_set<=3;endendcaseend//***************************************////**************密码输入*****************//always @(!setup)begincase(k)10'b00_0000_0001:begin code<=4'h0;in<=1;end //按键扫描; 10'b00_0000_0010:begin code<=4'h1;in<=1;end10'b00_0000_0100:begin code<=4'h2;in<=1;end10'b00_0000_1000:begin code<=4'h3;in<=1;end10'b00_0001_0000:begin code<=4'h4;in<=1;end10'b00_0010_0000:begin code<=4'h5;in<=1;end10'b00_0100_0000:begin code<=4'h6;in<=1;end10'b00_1000_0000:begin code<=4'h7;in<=1;end10'b01_0000_0000:begin code<=4'h8;in<=1;end10'b10_0000_0000:begin code<=4'h9;in<=1;enddefault:begin code<=4'ha;in<=0;endendcaseendalways @(posedge start or posedge in)beginif(start)beginstate<=0;out1<=4'hb;out2<=4'hb;out3<=4'hb;endelsebegincase(state)0:begin out1<=code;state<=1;end1:begin out2<=code;state<=2;end2:begin out3<=code;state<=3;endendcaseendend//****************************************////*************密码输入结果判断和复位*********//always @(posedge I_setup or posedge open)beginif(I_setup)begin lock<=0;led1<=0;spk<=0;led2<=0;end // I_setup为高电平是复位;else //open高电平触发下面语句; beginif ((out1==c1)&&(out2==c2)&&(out3==c3)) //判断out1,out2,out3是否//与c1,c2,c3全相等?begin lock<=1;led1<=1;spk<=0;led2<=0;end //是则密码输入结果正确; elsebegin lock<=0;led1<=0;spk<=1;led2<=1;end //否则密码输入结果错误; endend//****************************************//endmodule3、仿真结果及分析1) 密码输入正确输出的仿真波形图图9-3密码输入正确及输出由图9-3可以看出K0~K9按键分别认为0~9。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
---目录摘要: .................................................................. 错误!未定义书签。
第一章:系统设计总述 ................................................................ - 1 -1.1设计要求....................................................................... - 1 -1.2 设计方案...................................................................... - 1 - 第二章:总体程序设计 ................................................................ - 2 -2.1整体组装设计原理图 ......................................................... - 2 -2.2 顶层模块程序调用 ........................................................... - 3 -2.2.1程序部分............................................................... - 3 -2.2.2 整体原理文件......................................................... - 4 - 第三章:单元模块程序设计........................................................... - 5 -3.1 输入模块...................................................................... - 5 -3.1.1 输入模块程序 ....................................................... - 5 -3.1.2输入模块元件 ......................................................... - 6 -3.1.3输入模块仿真 ......................................................... - 7 -3.2 电子密码锁系统控制模块.................................................. - 7 -3.2.1控制模块程序 ......................................................... - 8 -3.2.2控制模块元件 ........................................................ - 10 -3.2.3控制模块仿真 ........................................................ - 11 -3.3显示模块...................................................................... - 11 -3.3.1显示模块元件 ........................................................ - 14 -3.3.2显示模块仿真 ........................................................ - 15 - 第五章:收获与体验 .................................................. 错误!未定义书签。
参考文献................................................................ 错误!未定义书签。
- ..1统设计总述1.1设计要求1、密码输入:每按下一个键,要求在数码管上显示,并依次左移;2、密码删除:每删除一位,数码管上的显示右移一位,在左边空出的位补充零;3、密码设置:当四位密码输入完毕,按下设置功能键进行设置.4、密码检验:输入密码,按下检验键.1.2 设计方案在电子密码锁的设计中,我首先考虑的方法:是用密码输入删除控制模块、动态扫描模块、寄存器模块、比较模块、触发模块实现的。
在密码输入删除控制模块中,每输入一位数,数码管左移一位,设置删除信号back,每按一次,删除最后输入的数字,密码在数码管显示右移一位,左边空处0。
设置密码确认信号set,但四位密码输入完毕后,按下set,则密码被送到寄存器锁存,比较器模块得到数据A,同时密码显示电路清零。
设置密码锁状态显示信号lock。
Lock=0表示锁未开,lock=1表示锁开,设置关锁信号close,当密码送到寄存器模块锁存后,按下close,则lock=0.设置密码检验信号check ,在lock=0下从数据开关输入四位开锁数据,按下check ,则开锁数码送寄存器锁存,数据比较模块得到数据B ,若A=B ,则触发器被置”1”.设计成三个模块:开关编码模块、控制模块、动态显示模块 设计的总结构图如图1.1图1.1设计总结构图2总体程序设计2.1整体组装设计原理图系统的整体组装设计有密码按键输入模块、密码控制模块、密码显示模块三部分组成,系统整体组装原理图如图2.1所示。
图2.1系统整体组装原理图开关编码输入模块 输入、删除、设置、检验控制模块动态显示模块.2.2 顶层模块程序调用2.2.1程序部分library ieee;use ieee.std_logic_1164.all;library work;entity eleclock isport( clk2_1: in std_logic;clk1_1: in std_logic;key_1: in std_logic_vector(9 downto 0);set_1: in std_logic;check_1: in std_logic;close_1: in std_logic;back_1: in std_logic;led_1 : out std_logic_vector(6 downto 0);sn_1: out std_logic_vector(2 downto 0);lock_1: out std_logic);end eleclock;architecture bdf_type of eleclock iscomponent ledsport( din: in std_logic_vector(15 downto 0);clk: in std_logic;con: out std_logic_vector(1 downto 0);led7: out std_logic_vector(6 downto 0);sn : out std_logic_vector(2 downto 0));end component;component artport(clk: in std_logic;set: in std_logic;check: in std_logic;close: in std_logic;back: in std_logic;dn: in std_logic_vector(3 downto 0);sout: out std_logic_vector(15 downto 0);lock : out std_logic);end component;component traport(n: in std_logic_vector(9 downto 0);sout: out std_logic_vector(3 downto 0));end component;signal synthesized_wire_3 : std_logic_vector(15 downto 0); signal synthesized_wire_4 : std_logic_vector(3 downto 0); beginb2v_inst1 : ledsport map(din => synthesized_wire_3(15 downto 0),clk => clk2_1,led7 => led_1(6 downto 0),sn => sn_1(2 downto 0));b2v_inst2 : artport map(set => set_1,check => check_1,close => close_1,back => back_1,clk => clk1_1,dn => synthesized_wire_4,sout => synthesized_wire_3(15 downto 0),lock => lock_1);b2v_inst3 : traport map(n =>key_1(9 downto 0),sout => synthesized_wire_4);end bdf_type;2.2.2 顶层模块原理文件顶层模块原理文件如图2.2.图2.2顶层模块原理图3单元模块程序设计3.1 按键输入模块按键输入与对应的编码输出之间的关系如表3-1所示表3-1 按键编码按键输入编码输出对应的数字0000000001 0001 10000000010 0010 20000000100 0011 30000001000 0100 40000010000 0101 50000100000 0110 60001000000 0111 70010000000 1000 8010******* 1001 91000000000 0000 03.1.1 输入模块程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity tra isport( n:in std_logic_vector(9 downto 0); ---定义按键输入端口sout:out std_logic_vector(3 downto 0)); ----定义编码输出端口end tra;architecture at of tra is -----定义结构体signal temp:std_logic_vector(3 downto 0);beginprocess(n)begincase n is ----输入键编码when "0000000001"=>temp<="0001";when "0000000010"=>temp<="0010";when "0000000100"=>temp<="0011";when "0000001000"=>temp<="0100";when "0000010000"=>temp<="0101";when "0000100000"=>temp<="0110";when "0001000000"=>temp<="0111";when "0010000000"=>temp<="1000";when "010*******"=>temp<="1001";when "1000000000"=>temp<="0000";when others=>temp<="1111";end case;end process;sout<=temp;end at;3.1.2输入模块元件.图3.1电子密码锁键盘输入3.1.3输入模块仿真图3.2键盘输入仿真3.2电子密码锁系统控制模块密码锁的控制电路是整个电路的控制中心。