plc8位彩灯循环控制共74页文档

合集下载

八路彩灯循环显示

八路彩灯循环显示

电子课程设计——8路彩灯显示目录一、设计任务与要求——————————————3二、总体框图—————————————————3三、选择器件—————————————————4四、功能模块—————————————————5五、总体设计电路———————————————7六、总结———————————————————9参考文献—————————————————9八路彩灯设计一、设计任务与要求控制8个LED进行花色显示,设计五种模式:LED从左到右依次闪烁;LED 从右到左依次闪烁;LED从中间到两边依次闪烁;LED奇数指示灯依次闪烁;LED偶数指示依次闪烁。

五种模式循环切换,复位键(CLR)控制系统的运行与停止。

二、总体框图方案一:图(1)方案一框图时钟输入:给分频电路时钟脉冲;计数器:控制五种循环模式的转换;移位寄存器:通过移位实现每种模式的所有状态;LED显示:彩灯演示;清零输入:CLR键,实现复位功能。

方案二;图(2)方案二框图时钟输入:给分频电路时钟脉冲;控制电路:状态机,当时钟输入给状态机一个脉冲输入后,状态机就会自动进行第一种循环,当第一种循环结束后,状态机会自动进行下一个循环,依次类推,当第五种循环模式结束后,状态机就会自动转换到第一种模式,这样一直循环下去。

LED显示:彩灯演示;清零输入:CLR键,实现复位功能;方案选择:方案二;选择理由:结构简单,易于实现。

三、选择器件1、Quartus II 6.0 软件;2、FPGA适配器实验板:标准配置是EP1C12Q240C8;3、下载接口是数字芯片的下载接口(JTAG),下载形式为USB-Blaster,主要用于FPGA芯片的数据下载;4、时钟源;5、电源、八个LED灯。

四、功能模块1、LED(状态机)模块图(3)LED(状态机)模块LED模块由两个主控进程控制,时序进程和辅助进程组成,各进程分工明确。

在完整的循环过程中,状态机中最先启动的是以CP为敏感信号的时序进程,当时序进程被启动后,按顺序进行五种循环模式,当CLR接收到一个低电平脉冲时,循环将自动恢复到第一种循环模式,继而进行循环.2、LED模块VHDL程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cdxs isport(led : out std_logic_vector(7 downto 0);vga: out std_logic_vector(3 downto 0); ---控制小灯亮暗cp ,clr : in std_logic;ledd: out std_logic); ---20MHZ clock signalend cdxs;architecture one of cdxs is--signal fre :STD_LOGIC;signal s :std_logic_vector(5 downto 0); ---6花色signal s1 :std_logic_vector(7 downto 0); ---赋值给8个小灯beginvga<="0001";p1:process(cp,clr)beginif clr='1' thens<= "000000";ledd<=s(1);elsif cp'event and cp='1' thenif s<="100010" then s<=s+1;elses<="000000";end if;end if;ledd<=s(1);if s= "000000" then s1<="10000000";elsif s= "000001" then s1<="01000000";elsif s= "000010" then s1<="00100000";elsif s= "000011" then s1<="00010000";elsif s= "000100" then s1<="00001000";elsif s= "000101" then s1<="00000100";elsif s= "000110" then s1<="00000010";elsif s= "000111" then s1<="00000001";elsif s= "001000" then s1<="00000010";elsif s= "001001" then s1<="00000100";elsif s= "001010" then s1<="00001000";elsif s= "001011" then s1<="00010000";elsif s= "001100" then s1<="00100000";elsif s= "001101" then s1<="01000000";elsif s= "001110" then s1<="10000000";elsif s= "001111" then s1<="00011000";elsif s= "010000" then s1<="00100100";elsif s= "010001" then s1<="01000010";elsif s= "010010" then s1<="10000001";elsif s= "010011" then s1<="10000000";elsif s= "010100" then s1<="00100000";elsif s= "010101" then s1<="00001000";elsif s= "010110" then s1<="00000010";elsif s= "010111" then s1<="01000000";elsif s= "011000" then s1<="00010000";elsif s= "011001" then s1<="00000100";elsif s= "011010" then s1<="00000001";elsif s= "100000" then s1<="11111111";else s1<="00000000";end if;led<=not s1;3、仿真结果图(4)时序仿真图仿真分析:有仿真结果可以看出,在清零端 CLR输入无效时,在时钟脉冲的作用下,LED[7..0]的状态分别为011111111,10111111,11011111,11101111,11110111,11111011,11111101,11111110。

8路彩灯控制器

8路彩灯控制器

8路彩灯控制器实验报告8路彩灯控制器一、实验目的:1、熟熟练掌握VHDL的用法2、了解8路彩灯的工作原理,电路结构。

二、实验要求:设计一个8路彩灯控制器,能控制8路彩灯按照两种节拍、三种花形循环变化。

两种节拍分别为0.25s和0.5s。

三种花型分别是:1、8路彩灯从左至右按次序见谅,全亮后逆次序渐灭。

2、从中间到两边对称渐亮,全亮后仍从中间到两边逐次渐灭。

3、8路彩灯分两半,从左至右顺次渐亮,全亮后则全灭。

三、实验原理:四、实验程序与步骤:程序:1、8路彩灯的三种花形控制模块CDlibrary ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cd isport(clk:in std_logic;jp:out std_logic;qq:out std_logic_vector(7 downto 0)); end cd; architecture behav of cd isconstant w:integer:=7;signal q:std_logic_vector(7 downto 0); beginprocess(clk)variable flag:bit_vector(2 downto 0):="000"; variable jp1:std_logic:='0';beginif clk'event and clk='1' thenif flag="000"thenq<='1'&q(w downto 1);if q(1)='1'thenflag:="001";end if;elsif flag="001" thenq<=q(w-1 downto 0)&'0';if q(6)='0'thenflag:="010";end if;elsif flag="010"thenq(w downto 4)<=q(w-1 downto 4)&'1';q(w-4 downto 0)<='1'&q(w-4 downto 1);if q(1)='1'thenflag:="011";end if;elsif flag="011"thenq(w downto 4)<=q(w-1 downto 4)&'0';q(w-4 downto 0)<='0'&q(w-4 downto 1);if q(1)='0'thenflag:="100";end if;elsif flag="100"thenq(w downto 4)<='1'&q(w downto 5);q(w-4 downto 0)<='1'&q(w-4 downto 1);if q(1)='1'thenflag:="101";end if;elsif flag="101"thenq<="00000000";jp1:=not jp1;flag:="000";end if;end if;qq<=q;jp<=jp1;end process;end behav;2、二选一多路选择器模块MUX21 library ieee; use ieee.std_logic_1164.all;entity mux21 isport(a,b,s:in std_logic;y:out std_logic);end mux21;architecture ar of mux21 isbeginprocess(a,b,s)beginif s='0'theny<=a;elsey<=b;end if;end process;end ar;3、二分频模块FEN2library ieee;use ieee.std_logic_1164.all;entity fen2 isport(clk:in std_logic;clkk:out std_logic);end fen2;architecture behav of fen2 isbeginprocess(clk)variable clkk1:std_logic:='0'; beginif clk'event and clk='1'thenclkk1:=not clkk1;end if;clkk<=clkk1;end process;end behav;步骤:1、输入程序CD、MUX21、FEN2。

项目16 彩灯循环点亮PLC控制

项目16  彩灯循环点亮PLC控制
教学目标 1.学习字元件、位组合元件的组成、用法以及与位元 件的区别 2.学会使用编程元件数据寄存器D和变址寄存器V、Z 3.学习传送指令、循环指令、加1、减1指令的用法 4.能根据控制要求正确编写PLC梯形图程序
项目描述 设计一个用PLC控制8盏彩灯(HL1~HL8)循环
点亮的系统,控制要求如下: 按下启动按钮SB1,彩灯以1s间隔从HL1开始正序轮 流点亮,当HL8点亮后,停2s,然后从HL8开始以1s 间隔反序轮流点亮,当HL1点亮后,停2s,从HL1开 始以1s间隔依次点亮至8个彩灯全亮,停2s,在从 HL8开始以1s间隔依次熄灭,停2s,重复上述过程 ,直到按下停止按钮SB2时停止工作。
实训器材
序号 符号
1 PLC
2
HL
3
QF
4
SB
5
FU
实训设备材料表
设备名称
型号、规格
可编程控制器
FX2N-48MR-001
彩灯
AD16-22 AC220V
空气开关
47-10/1P
按钮
LA39-11
熔断器
RT18-32/2A
单位 数量 备注

1

8

1

2

1
项目分析 PLC中基本指令和步进指令主要用于逻辑处理

312点
7488点
256点
说明:(1)通用型可通过设定参数变为停电保持型;(2)停电保持型可通过设定参 数变为非停电保持用(即通用型);(3)停电保持专用通过设定参数无法变为非停电 保持用;(4)通过参数设定,可将D1000~D7999设定为文件寄存器使用。
项目实施
【任务一】:学习相关知识 活动3:学习编程元件——变址寄存器V、Z

7.PLC彩灯控制实训案例

7.PLC彩灯控制实训案例

案例一:PLC彩灯控制一、彩灯控制案例描述用一个按钮控制8个灯,按下启动按钮不松手时,每秒钟亮一个灯,从L1到L8依次闪亮,然后再从L8到L1依次闪亮,不断重复上述循环过程,松开启动按钮后,8个灯全灭。

控制系统如下图所示:彩灯控制示意图二、控制方案设计1.电气原理图2.IO分配表输入输出输入设备输入继电器输出设备输出继电器启动按钮SB1 X0 HL1 Y0HL2 Y1HL3 Y2HL4 Y3HL5 Y4HL6 Y5HL7 Y6HL8 Y7三、彩灯控制程序四、彩灯控制案例分析1.初始状态下:控制开关S断开,X0常闭接点闭合,Y7~Y0均为0,执行SUM指令,D0=0所以零位标志M8020=1。

2.闭合控制开关S,定时器T0得电,T0每隔1s发出一个脉冲,由于M0未得电,M0常闭接点闭合,T0的脉冲控制左移指令SFTLP,T0第一个脉冲将M020中的1左移到Y0,Y0=1,这时K2Y0不为0,D0也不为0,所以零位标志M0820=0。

T0第二个脉冲将M8020中的0左移到Y0,Y0=0,Y1=1,之后,K2Y0和D0也不再为0,零位标志M8020=0,一直到Y7=1,再左移一次,Y7由1变为0时,Y7~Y0又为0,执行SUM指令,D0=0,零位标志M8020=1。

Y7下降沿接点将M0置1,M0常开接点闭合,T0的脉冲控制右移指令SFTRP,Y7~Y0实现从Y7→Y0单点右移。

一直到Y0=1,再左移一次,Y0由1变为0时,Y0的下降沿接点将M0置0,M0常闭接点闭合,有执行左移指令SFTLP。

并不断执行上述过程。

3.当控制开关S断开,Y7~Y0全部复位为0。

plc8位彩灯循环控制

plc8位彩灯循环控制

离散(中 等)
9
12
HSC0 CV=PV
10
27
HSC0方向改变
11
28
HSC0外部复位
12
13
HSC1 CV=PV
13
14
HSC1方向改变
14
15
HSC1外部复位
15
16
HSC2 CV=PV
16
17
HSC2方向改变
17
18
HSC2外部复位
18
Page 14
精选课件
二、相关知识
2.中断程序
(2)中断事件与中断指令。 ① 中断事件。
2
定时器T96 CT=PT中断
3
精选课件
二、相关知识
(三)S7-200 CPU控 制程序的构成
2.中断程序
(2)中断事件与中断指令。
② 中断指令
梯形图 RETI ENI DISI ATCH DTCH
CLR_EVNT
语句表 CRETI
ENI DISI ATCH INT,EVNT DTCH EVNT CEVNT EVNT
上升沿,I0.0 上升沿,I0.1 上升沿,I0.2 上升沿,I0.3 下降沿,I0.0 下降沿,I0.1 下降沿,I0.2 下降沿,I精0.选3 课件 HSC0 CV=PV
优先级分组
离散(中 等)
按组排列的优 先级 0 1 2 3 4 5 6 7 8 9 10
19
PTO 0脉冲输出完成中断
0
20
Page 11
精选课件
二、相关知识
(三)S7-200 CPU控 制程序的构成
2.中断程序
(2)中断事件与中断指令。 ① 中断事件。

Word版可编辑-八路循环彩灯电路设计精心整理.doc

Word版可编辑-八路循环彩灯电路设计精心整理.doc

八路循环彩灯电路设计摘要:灯光的闪烁和流动可以用于各种各样的装饰,例如电子门标、广告装饰等。

灯光的流动总是特别吸引别人的注意力。

在夜晚,在城市的街头,当广告牌上的灯光流动起来时,城市就会马上变得生动起来。

我所设计的彩灯控制电路是原理很简单的一种利用大学所学到的数电知识制成。

只要了解几种集成电路便可轻松明白它的原理。

做好的彩灯可以放在室内,主人会感到温馨;放在餐厅等地方可以增加氛围。

本文基于电子线路CAD常用软件Protel99SE设计了一个简单的循环彩灯电路,各路彩灯由发光二极管模拟代替。

采用555定时器,计数器,移位器,产生控制循环信号,再利用74LF161A计数器和74LS194左右移位寄存器组成驱动电路来依次控制彩灯循环闪烁。

循环彩灯电路由驱动电路、输出电路、时钟电路和电源等为整个系统工作提供所需的能源。

CMOS 电路对电源电压的要求相对比较宽松。

循环彩灯电路是由TTL集成电路做成,并且采用5伏电源供电。

时钟电路是由555多谐自激震荡集成电路制成,与电阻和电容一起构成时钟周期发生器,为电路提供时钟信号,支持整个电路的工作。

驱动电路是由74LF161A计数器和74LS194左右移位寄存器组成,用以驱动发光二极管正常工作,并且在时钟电路的控制下让八个发光二极管循环工作。

关键词:八路彩灯;555定时器;计数器;移位寄存器The Design Of Eight Lines Circulating Lights Abstract: Lights flashing and the flow can be used for a variety of decoration, such as electronic door standard, advertising and decoration. The flow of light is always special to attract people's attention. At night, the streets in the city, when the flow of light on the billboards up, the city will soon get to life. I designed the lights control circuit is a very simple principle learned to use the University made several electrical knowledge. As long as several integrated circuits can easily understand its principles. Do the lights can be placed indoors, the owner will feel warm; on restaurants and other places to increase the atmosphere.Based on the electronic circuits commonly used CAD software Protel99SE designed a simple circuit lights cycle, and the brightest lights from the light-emitting diode simulations instead. Using 555 timers, counters, shifters, resulting in the control loop signal, and then use 74LF161A around the counter and 74LS194 shift registers control drive circuit to turn lights cycling. Cycle lights circuit by the drive circuit, output circuit, clock circuit and power supply for the entire system to provide the necessary energy. CMOS circuits the power supply voltage requirements are relatively relaxed. Cycle lights circuit is made by TTL integrated circuits, and the 5-volt power supply. Clock circuit is more than 555 integrated circuit made of harmonic self-excited oscillation, together with resistors and capacitors constitute the clock generator providing clock signals for the circuit to support the entire circuit. Drive circuit is 74LF161A counter and shift register 74LS194 about the composition, light-emitting diodes to drive to work, and under the control of the clock circuit so that the work cycle of eight light-emitting diodes.Key words:Eight lines circulating lights; 555 timer; Counter; Shift register目录1引言 (1)2 系统组成及工作原理 (1)2.1 基础设计目的 (1)2.2 基础设计要求 (1)2.3 总体设计思路 (2)2.4 电路框图 (2)2.5 PCB板布线 (2)2.5.1 布局规则 (2)2.5.2 布线规则 (2)3 循环彩灯的系统组成 (3)3.1 555定时器电路 (3)3.1.1 定时器电路产生时钟脉冲 (3)3.1.2 555定时器组成的多谐振荡电路 (4)3.2 74F161A及74LS194的功能 (5)3.2.1 74F161A四位二进制同步计数器 (5)3.2.2 74LS194四位双向移位寄存器 (6)3.3 设计彩灯控制电路 (7)3.4 电路图的仿真 (7)3.5 PCB板布线与制做方案的实施 (7)3.5.1 PCB板布线 (7)3.5.2 PCB板制作 (8)4 循环电路的总体设计 (8)4.1 循环彩灯原理图 (8)4.2循环彩灯仿真图 (9)4.3 循环彩灯PCB图 (9)4.4循环彩灯装配图 (10)4.5 循环彩灯实物图 (10)5 实验结果的调试与检验 (11)5.1 调试技巧方法 (11)5.2 调试中出现的原因、故障及排除的方法 (11)6 总结与设计结论 (11)6.1 总体结果 (11)6.2 设计结论 (12)6.3 收获 (12)参考文献 (15)致谢......................................................错误!未定义书签。

8路输出的彩灯循环控制电路数电说明书(内附电路图)

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。

例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。

随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。

随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。

随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。

不论是思想,还是视觉,人们都在追求更高的美。

特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。

本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。

由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。

编者2010年于太科大目录绪论 (1)一、课程设计题目 (3)二、课程设计目的 (4)三、课程设计基本要求: (4)四、课程设计任务和具体功能 (5)五、工作原理 (5)六、设计总框图 (6)七、电路元器件的说明 (6)八、总电路图 (27)九、调试与检测 (28)十、误差分析: (28)十一、设计心得体会。

(28)附录 (28)参考文献 (28)一、课程设计题目:8路输出的彩灯循环控制电路二、课程设计目的:1、巩固和加强“数字电子技术”、“模拟电子技术”课程的理论知识的理解和应用。

2、掌握电子电路的一般设计方法,了解电子产品研制开发的过程。

3、提高电子电路实验技能及Multisim10仿真软件的使用能力。

PLC编程 8个彩灯

PLC编程   8个彩灯

朱彭
一.课程目的
有8个彩灯排成一行,从左至右依次每秒有一个灯点亮(只有一个灯亮),循环三次后,全部灯同时点亮,3s后全部灯熄灭,如此重复不断进行。

二.课程分析
①配置表
②梯形图
③梯形图分析与总结
PLC开始运行,X000闭合后M0自锁,并且使计时器T0开始计时,T0定时器定时1s后给计数器C0一个脉冲,然后再次定时1s,再给计数器一个脉冲,并且计数器每计数一次都会带动接通相应的辅助继电器M动作,辅助继电器会带动相应的小灯动作。

当计数器计满9个数时会自动清零,然后循环,并且会给计数器C1计数一次。

当计数器C1计满3个数后计数器C0不再清零,C1清零,也就是不再循环。

此时辅助继电器M9会自锁,M9与之前辅助继电器并联,会带动小灯动作。

同时定时器TI开始定时,3s后M9会断开,小灯熄灭。

一直循环。

作者QQ:2528647412。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档