半导体专业用语

半导体专业用语
半导体专业用语

金属前介质层(PMD)金属间介质层(IMD)W塞(W PLUG)

钝化层(Passivation)

acceptor 受主,如B,掺入Si中需要接受电子Acid:酸

actuator激励

ADI After develop inspection显影后检视

AEI After etching inspection蚀科后检查

AFM atomic force microscopy 原子力显微Alignment

Alloy:合金

Aluminum

Ammonia

amplifier

AMU

Analog

Angstrom:

Anisotropic

arc chamber

ARC:

Argon(Ar)氩

Arsenic(As)

Arsine(AsH)

ASHER

Asher

ASI

ASIC

Aspect ration

ATE 自动检测设备

Back end:后段(CONTACT以后、PCM测试前)Backside Etch 背面蚀刻

Backside 晶片背面

Baseline:标准流程

Beam-Current 电子束电流

Benchmark:基准

BGA ball grid array 高脚封装

Bipolar:双极

Boat:扩散用(石英)舟Cassette 装晶片的晶舟

CD:critical dimension 关键性尺寸,临界尺寸Chamber 反应室

Chart 图表

Child lot 子批

chiller 制冷机

Chip (die) 晶粒

Doping 掺杂

Dose 剂量

Downgrade 降级

DRC design rule check 设计规则检查

Dry Clean 干洗

Due date 交期

Dummy wafer 挡片

E/R etch rate 蚀刻速率

EE 设备工程师

ELS extended life source 高寿命离子源enclosure 外壳

BPSG 含有硼磷的硅玻璃

Break 中断,stepper机台内中途停止键

cassette 晶片盒

End Point 蚀刻终点

e-shower 中性化电子子发生器

ET etch 蚀刻

Exhaust 排气(将管路中的空气排除)Exposure 曝光

extrantion electrode 高压吸极

FAB 工厂

fab

Field Oxide

filament

film

flat aligener

flat:平边

Flatness

flow velocity

flow volume

flux

Focus 焦距

Foundry

FSG

Furnace

gate oxide

gowning

gray area:灰区

gyro drive 两方向偏转

hard bake:后烘,坚烘,soft bake (软烘) HCI hot carrier injection 热载流子注入HDP:high density plasma

高密度等离子体

heat exchange 热交换机

High-V oltage 高压

host:主机

Hot bake 烘烤ICP inductive couple plasma

感应等离子体

ID 辨认,鉴定

IGBT 绝缘门双极晶体管

images:去掉图形区域的版

implant 注入

Implant 植入

impurity n 掺杂

majority carrier n

多数载流子

Mask (reticle) 光罩

masks, device series of n

一成套光刻版

material n 原料

matrix n 矩阵

mean n 平均值

measured leak rate n 测得漏率

median n 中间值

memory n 记忆体

Merge 合并

metal n 金属

Metal Via 金属接触窗

MFG 制造部

Mid-Current 中电流

Module 部门

nanometer (nm) n :纳米

nanosecond (ns) n :纳秒

NIT SiN 氮化硅

nitride etch n :氮化物刻蚀

photomask n :光刻版,用于光刻的

photomask, negative n:反刻

photomask, positive n:正刻

Pilot 实验的

PVD 物理气相淀积

PW p-doped well P阱

quad rupole lens 磁聚焦透镜

quartz carrier n 石英舟。

Queue time 等待时间

内层介电层(ILD)、

hot carriers:热载流子hydrophilic:亲水性hydrophobic:疏水性

pn junction n:pn结

Pod 装晶舟与晶片的盒子Polymer 聚合物

POR Process of record

post accel 后加速器Plasma 电浆PMD premetal dielectric 电容

PP p-doped plus(P+) P型重掺杂pure water n

PVD

Queue time

显影前烘焙

驻波效应

R/C runcard

SOG

SOG

填能力”

以CVD

临的孔洞问题

Spacer :

在PLOY

漏横向扩散形成的沟道效应。LPTEOS主要用于SPACER及

电容氧化层。

TEOS = Si(O C2H5)4

名称:正硅酸乙脂,又称

四乙氧基硅烷

Si(O C2H5)4 →BIST,Built-in Self Test 内建的自测试

Bus Route 总线布线

Carbide碳

circuit diagram 电路图

Circuit 电路基准

Clementine 专用共形开线设计

Cluster Placement 簇布局

Electromagnetic Noise 电磁噪声

EMC,Elctromagnetic Compatibilt 电磁兼容

EMI,Electromagnetic Interference 电磁干扰

Emulation 硬件仿真

Ensemble 多层平面电磁场仿真

ESD 静电释放

Expansion膨胀

Fall Time 下降时间

False Clocking 假时钟

FEP 氟化乙丙烯

FFT,Fast Fourier Transform 快速傅里叶变换

Float License 网络浮动

Ground Bounce 地弹反射

GUI,Graphical User Interface 图

形用户界面

Harmonica 射频微波电路仿真

HFSS 三维高频结构电磁场仿真

HMDS (六甲基二硅胺):涂胶前

处理,增加圆片衬底与光刻胶的

粘附性

集成电路

液晶

Module 液

Diode 发光

多芯

Design

非线性设

ODB++ Open Data Base 公开数

据库

OEM 原设备制造商

OLE Automation 目标连接与嵌

On-line DRC 在线设计规则检查

ONO:氧化层-氮化层-氧化层介

质;用作电容介质

Optimetrics 优化和参数扫描

OSD On Screen Display 在屏上

显示Overshoot 过冲

TM top mental 顶层金属层

Undershoot 下冲

Uniform Distribution 均匀分布

Variant 派生

SiO2 +4C2H4 +2H2O

它的设备结构和LPSi3N4

基本类似。

Under Etch 蚀刻不足

USG undoped 硅玻璃

vacuum 真空。

vaporizer 蒸发器

W (Tungsten) 钨

watt(W) 瓦。能量单位。

WEE

well 阱。

wrench 扳手

专业术语

A/D [军

AC Phase

Accuracy

Adhesion

Aggressor

自动光学检查

ASIC

装配版本输出

Attributes

Inspection

Backlight -

Period 周期

Phase Alrernating Line

PAL制式(逐行倒相制式)Physical Design Reuse 物理设计可重复PI,Power Integrity 电源完整性

Piece-Wise-linear Source 分段线性源POD 装晶舟和晶片的盒子

Preview 输出预览

PSG 硼硅玻璃

Pulse Width 脉冲宽度

Pulsed V oltage 脉冲电压

Quiescent Line 静态线

Radial Array Placement

极坐标方式的元件布局

Reflection 反射Refractive折射

Reticle 光罩Reuse 实现设计重用

Rise Time 上升时间

Rnging 振荡,信号的振铃

Rounding 环绕振荡

Rules Driven 规则驱动设计

Runcard 运作卡

Scratch 刮伤

SDG

如SDG

得到源漏栅

Setting 设置

Settling Time

Shape Base

Shove

Simulation

Sketch

Skew 偏移

Solvent 溶剂

Spin 旋转

Sputter 溅射

Strip

Symphony

Time domain

Cycle time

Damage:损伤。对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以叫做损伤。

Depletion implant:耗尽注入。一种在沟道中注入离子形成耗尽晶体管的注入工艺。(耗尽晶体管指在栅压为零的情况下有电流流过的晶体管。)

Depletion layer:耗尽层。可动载流子密度远低于施主和受主的固定电荷密度的区域。

Depletion width:耗尽宽度。中提到的耗尽层这个区域的宽度。

Deposition:淀积。一种在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一种方法。

design of experiments (DOE):为了达到费用最小化、降低试验错误、以及保证数据结果的统计合理性等目的,所设计的初始工程批试验计划。

develop:显影(通过化学处理除去曝光区域的光刻胶,形成所需图形的过程)

diborane (BH):乙硼烷,一种无色、易挥发、有毒的可燃气体,常用来作为半导体生产中的硼源

dichloromethane (CHCL):二氯甲,一种无色,不可燃,不可爆的液体。

dichlorosilane (DSC):二氯甲硅烷,一种可燃,有腐蚀性,无色,在潮湿环境下易水解的物质,常用于硅外延或多晶硅的成长,以及用在沉积二氧化硅、氮化硅时的化学气氛中。

die:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上的部分划片槽区域。dielectric:Ⅰ)介质,一种绝缘材料;Ⅱ)用于陶瓷或塑料封装的表面材料,可以提供电绝缘功能。

diffused layer:扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形成与衬底材料反型的杂质离子层。

disilane (SiH):乙硅烷,一种无色、无腐蚀性、极易燃的气体,燃烧时能产生高火焰,暴露在空气中会自燃。在生产光电单元时,乙硅烷常用于沉积多晶硅薄膜。

drive-in

dry etch

程。

EM:

epitaxial layer

外延层。

etch

exposure

feature size

heteroepitaxy

oxidation n

PE

pilot n

plasma n

pocked bead n

polarization n

polycide n:多晶硅/金属硅化物,解决高阻的复合栅结构

polycrystalline silicon (poly) n:多晶硅,高浓度掺杂(>E)的硅,能导电。

prober n 探针。在集成电路的电流测试中使用的一种设备,用以连接圆片和检测设备。

process control n 过程控制。半导体制造过程中,对设备或产品规范的控制能力。

proximity X-ray n 近X射线:一种光刻技术,用X射线照射置于光刻胶上方的掩膜版,从而使对应的光刻胶暴光。quantum device n 量子设备。一种电子设备结构,其特性源于电子的波动性。

rapid thermal processing (RTP) n 快速热处理(RTP)。

recipe n 菜单。生产过程中对圆片所做的每一步处理规范。

scanning electron microscope (SEM) n 电子显微镜(SEM)。

SEM:scanning electron microscope 扫描式电子显微镜

semiconductor n 半导体。电导性介于导体和绝缘体之间的元素。

sheet resistance (Rs) (or per square) n 薄层电阻。一般用以衡量半导体表面杂质掺杂水平。

silicon on sapphire(SOS)epitaxial wafer外延是蓝宝石衬底硅的原片

small scale integration(SSI)小规模综合,在单一模块上由到个图案的布局。

source code原代码,机器代码编译者使用的,输入到程序设计语言里或编码器的代码。

spectral line 光谱线,光谱镊制机或分光计在焦平面上捕捉到的狭长状的图形。

spin webbing 旋转带,在旋转过程中在下表面形成的细丝状的剩余物。

sputter etch 溅射刻蚀,从离子轰击产生的表面除去薄膜。

stacking fault堆垛层错,原子普通堆积规律的背离产生的次空间错误。

steam bath蒸汽浴,一个大气压下,流动蒸汽或其他温度热源的暴光。

step response

时间。

stress test

surface profile

symptom

tack weld

TEOS –TEOS 生长得到的

testability

thin film

度(Not)

trench

tungsten

传导的薄膜。

via

wafer flat

window

DFM,Design for Manufacturing 面向制造过程的设计

DFX,Design for X 面向产品的整个生命周期或某个环节的设计

Duty - 占空比,高出点亮的阀值电压的部分在一个周期中所占的比率

EDIF,The Electronic Design Interchange Format 电子设计交互格式

EIA,Electronic Industries Association 电子工业协会

EL Elextro Luminescence 电致发光。EL层由高分子量薄片构成

Engineering Change Order 原理图与PCB版图的自动对应修改

FSTN Formulated Super Twisted Nematic 格式化超级扭曲向列。一层光程补偿偏甲于STN,用于单色显示

IBIS,Input/Output Buffer Information Specification 模型

ICAM,Integrated Computer Aided Manufacturing 在ECCE项目里就是指制作PCB

IEEE,The Institute of Electrical and Electronic Engineers 国际电气和电子工程师协会IGES,Initial Graphics Exchange Specification 三维立体几何模型和工程描述的标准IPC,The Institute for Packaging and Interconnect 封装与互连协会

IPO,Interactive Process Optimizaton 交互过程优化

ISO,The International Standards Organization 国际标准化组织

LVDS Low V oltage Differential Signaling 低压差分信号

NTSC National Television Systems Committee NTSC制式。全国电视系统委员会制式SEquential Couleur Avec Memoire SECAM制式(顺序与存储彩色电视系统)SPI,Signal-Power Integrity 将信号完整性和电源完整性集成于一体的分析工具SPICE,Simulation Program with Integrated Circuit Emphasis 集成电路模拟的仿真程序

S-video - S

可提升约

的可靠度。

半导体名词解释

1. 何谓PIE PIE的主要工作是什幺 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2. 200mm,300mm Wafer 代表何意义 答:8吋硅片(wafer)直径为200mm , 直径为300mm硅片即12吋. 3. 目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺未来北京的Fab4(四厂)采用多少mm的wafer工艺 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4. 我们为何需要300mm 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低200→300 面积增加倍,芯片数目约增加倍 5. 所谓的um 的工艺能力(technology)代表的是什幺意义 答:是指工厂的工艺能力可以达到um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6. 从>>>> 的technology改变又代表的是什幺意义 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从-> -> -> -> 代表着每一个阶段工艺能力的提升。 7. 一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓N, P-type wafer 答:N-type wafer 是指掺杂negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module) 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9. 一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般的逻辑产品为1P6M( 1层的Poly和6层的metal)。而

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

半导体行业专业词汇

半导体行业专业词汇 . acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

半导体专业术语英语..

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

半导体一些术语的中英文对照

离子注入机 ion implanter LSS理论 Lindhand Scharff and Schiott theory 又称“林汉德-斯卡夫-斯高特理论”。 沟道效应 channeling effect 射程分布 range distribution 深度分布 depth distribution 投影射程 projected range 阻止距离 stopping distance 阻止本领 stopping power 标准阻止截面 standard stopping cross section 退火 annealing 激活能 activation energy 等温退火 isothermal annealing 激光退火 laser annealing 应力感生缺陷 stress-induced defect 择优取向 preferred orientation

制版工艺 mask-making technology 图形畸变 pattern distortion 初缩 first minification 精缩 final minification 母版 master mask 铬版 chromium plate 干版 dry plate 乳胶版 emulsion plate 透明版 see-through plate 高分辨率版 high resolution plate, HRP 超微粒干版 plate for ultra-microminiaturization 掩模 mask 掩模对准 mask alignment 对准精度 alignment precision 光刻胶 photoresist 又称“光致抗蚀剂”。 负性光刻胶 negative photoresist

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

半导体术语

Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Activation energy 激活能 Active region 有源(放大)区Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件Aluminum(Aluminium) 铝Aluminum –oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度

Amorphous 无定形的,非晶体的 Amplifier 功放扩音器放大器 Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Arsenic (AS) 砷 Auger 俄歇 Auger process 俄歇过程 Avalanche 雪崩 Avalanche breakdown 雪崩击穿 Avalanche excitation雪崩激发 B Background carrier 本底载流子 Background doping 本底掺杂 Backward 反向 Backward bias 反向偏置 Ballasting resistor 整流电阻 Ball bond 球形键合 Band 能带 Band gap 能带间隙 Barrier 势垒

半导体行业专业术语

半导体行业专业术语.txt都是一个山的狐狸,你跟我讲什么聊斋,站在离你最近的地方,眺望你对别人的微笑,即使心是百般的疼痛只为把你的一举一动尽收眼底.刺眼的白色,让我明白什么是纯粹的伤害。悬赏太少了吧~嘎嘎不过尽管如此还是分享下俺的资料(有19800个字,这里发不下,如果还需要就给我小消息~~~):) 移动通讯词汇(中英) A 安全地线 safe ground wire 安全特性 security feature 安装线 hook-up wire 按半周进行的多周期控制 multicycle controlled by half-cycle 按键电话机 push-button telephone set 按需分配多地址 demand assignment multiple access(DAMA) 按要求的电信业务 demand telecommunication service 按组编码 encode by group B 八木天线 Yagi antenna 白噪声 white Gaussian noise 白噪声发生器 white noise generator 半波偶极子 halfwave dipole 半导体存储器 semiconductor memory 半导体集成电路 semiconductor integrated circuit 半双工操作 semi-duplex operation 半字节 Nib 包络负反馈 peak envelop negative feed-back 包络延时失真 envelop delay distortion 薄膜 thin film 薄膜混合集成电路 thin film hybrid integrated circuit 保护比(射频) protection ratio (RF) 保护时段 guard period 保密通信 secure communication 报头 header 报文分组 packet 报文优先等级 message priority 报讯 alarm 备用工作方式 spare mode 背景躁声 background noise 倍频 frequency multiplication 倍频程 actave 倍频程滤波器 octave filter 被呼地址修改通知 called address modified notification

半导体名词解释

1. 何谓PIE? PIE的主要工作是什幺? 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2. 200mm,300mm Wafer 代表何意义? 答:8吋硅片(wafer)直径为 200mm , 直径为 300mm硅片即12吋. 3. 目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺?未来北京的Fab4(四厂)采用多少mm的wafer工艺? 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达0.13um工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4. 我们为何需要300mm? 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低 200→300 面积增加2.25倍,芯片数目约增加2.5倍 5. 所谓的0.13 um 的工艺能力(technology)代表的是什幺意义? 答:是指工厂的工艺能力可以达到0.13 um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6. 从0.35um->0.25um->0.18um->0.15um->0.13um 的technology改变又代表的是什幺意义? 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从0.35um -> 0.25um -> 0.18um ->

0.15um -> 0.13um 代表着每一个阶段工艺能力的提升。 7. 一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓 N, P-type wafer? 答:N-type wafer 是指掺杂 negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂 positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module)? 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9. 一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义? 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般0.15um 的逻辑产品为1P6M( 1层的Poly和6层的metal)。而 光罩层数(mask layer)代表硅片的制造必需经过几次的PHOTO(光刻). 10. Wafer下线的第一道步骤是形成start oxide 和zero layer? 其中start oxide 的目的是为何? 答:①不希望有机成分的光刻胶直接碰触Si 表面。 ②在laser刻号过程中,亦可避免被产生的粉尘污染。 11. 为何需要zero layer? 答:芯片的工艺由许多不同层次堆栈而成的, 各层次之间以zero layer当做对准的基准。 12. Laser mark是什幺用途? Wafer ID 又代表什幺意义? 答:Laser mark 是用来刻wafer ID, Wafer ID 就如同硅片的身份证一样,一个ID代表一片硅片的身份。 13. 一般硅片的制造(wafer process)过程包含哪些主要部分? 答:①前段(frontend)-元器件(device)的制造过程。 ②后段(backend)-金属导线的连接及护层(passivation) 14. 前段(frontend)的工艺大致可区分为那些部份? 答:①STI的形成(定义AA区域及器件间的隔离)

半导体词汇汇总

半导体词汇 半导体词汇 1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。 39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。 40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬

半导体专业术语英语讲解学习

半导体专业术语英语

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷

22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。

半导体用语

半导体用语

————————————————————————————————作者: ————————————————————————————————日期: ?

Siliconingot 硅锭 Wafer晶片 Mirror wafer 镜面晶圆 Patter晶圆片 FAB:fabrication 制造 Fabrication Facility 制造wafer生产工厂 Probe test探针测试 Probe card探针板 Contact连接 ProbeTip 探头端部 Chip Function 功能 EPM:Electrical Parameter Monitoring Summary总结 R&D:Researchand Development研究和开发MCP:Multi Chip Package 多芯片封装 POP:Package on Package e-MMC:embedded Multi Media card 嵌入式多媒体卡WLP:Wafer Level Package 晶圆级封装 SDP 一层 DDP 两层 QDP 四层

ODP八层 Pad out BackGrind背研磨 WaferGrindBack Grind 磨片 Overview 概述 TPM:Total Profit Management SKTPM Operation 操作 Erase 消除 Key Para.:Keyparameter 关键参数 Cycling 写入次数、循环次数 Retention 保留时间 Non-V olatile memory Volatile memory Read读 Write写 Refresh 更新 Speed速度、速率、转速 Restore 修复、恢复 Electrical Signal 电信号 WFBI:WaferBurn-In PT1H:Probe Test1HotTest PT1C:Probe Test 1 ColdTest

半导体名词解释

1.何谓PIE PIE的主要工作是什幺? 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2.200mm,300mm Wafer 代表何意义? 答:8寸硅片(wafer)直径为 200mm , 直径为 300mm硅片即12寸. 3.目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺未来北京的Fab4(四厂)采用多少mm的wafer工艺? 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4.我们为何需要300mm? 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低 200→300 面积增加倍,芯片数目约增加倍 5. 所谓的 um 的工艺能力(technology)代表的是什幺意义? 答:是指工厂的工艺能力可以达到 um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6.从>>>> 的technology改变又代表的是什幺意义? 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从 -> -> -> -> 代表着每一个阶段工艺能力的提升。 7.一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓 N, P-type wafer? 答:N-type wafer 是指掺杂 negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂 positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module)? 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9.一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义? 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般的逻辑产品为1P6M( 1层的Poly和6层的metal)。而

半导体物理--专业术语英汉对照-复习版

1 acceptor 受主 2 allowed energy band允带 3 binary semiconductor 二元半导体 4 charge neutrality condition 电中性条件 5 compensated semiconductor 补偿半导体 6 conduction band and valence band 导带和价带 7 effective mass 有效质量 8 density of states function状态密度函数 9 diamond structure金刚石结构 10 diffusion coefficient扩散系数 11 donor施主 12 drift velocity 漂移速度 13 electron and hole电子和空穴 14 elemental semiconductor 元素半导体 15 equilibrium carrier concentration热平衡载流子浓度 16 expitaxy外延 17 extrinsic semiconductor非本征半导体 18 Fermi energy (or level)费米能级 19 Forbidden energy band禁带 20 indirect bandbap semiconductor非直接带隙半导体 21 intrinsic semiconductor本征半导体 22 majority carrier多数载流子 23 MBE分子束外延 24 Miller indices密勒指数 25 minority carrier少数载流子 26 mobility迁移率 27 MOCVD金属有机气相沉积 28 nondegenerate semiconductor非简并半导体 29 n-type material n型材料 30 Pauli exclusion principle 泡利不相容原理 31 phonon声子 32 photon光子 33 primitive cell原胞 34 quantum state量子态 35 quaternary semiconductor四元半导体 36 scattering散射 37 substrate衬底 38 thermal motion热运动 39 unit cell单胞 40 wave-particle duality波粒二相性 41 continuity equations连续性方程 42 diffusion length扩散长度 43 diffusion coefficient扩散系数 44 Einstein relationship爱因斯坦关系 45 p-n junction p-n结 46 built-in voltage 内建电势差 47 carrier lifetime 载流子寿命 48 space charge region 空间电荷区 49 depletion width 耗尽宽度 50 saturation drift velocity 饱和迁移速度

电气的专业术语 英文

电气的专业术语英文(一) 11. interfere with 有害于。。。 12. indicating needle仪表指针 13. hazardous 危险的 14. pivot 支点 15. terminal 端子 16. spiral 螺旋形的 17. spring 弹簧 18. shunt 分流,分路,并联,旁路 19. rectifier 整流器 20. electrodynamometer 电测力计 21. strive for 争取 22. vane 机器的叶,叶片 23. strip 条,带,(跨接)片 24. crude 不精细的,粗略的 25. polarity 极性 26. fuse 保险丝,熔丝 27. rugged 坚固的 28. depict 描绘,描写 29. cartridge 盒式保险丝 30. blow (保险丝)烧断

31. plug fuse 插头式保险丝 32. malfunction 故障 33. deenergize 不给… 通电 34. insulation 绝缘 35. generator 发电机 36. magneto 磁发电机 37. humidity 湿度 38. moisture 潮湿湿气 39. abbreviate 缩写,缩写为 40. transformer 变压器 41. thumb 检查,查阅 42. milliammeter 毫安表 43. multimeter 万用表 44. dynamometer 测力计,功率计 45. aluminum 铝 46. deteriorate 使….恶化 47. eddy current 涡流 48. gear 齿轮,传动装置 49. dial 刻度盘 50. semiconductor 半导体 51. squirrel 鼠笼式

半导体英文词汇

1 Active Area 主动区(工作区)主动晶体管(ACTIVE TRANSISTOR)被制造的区域即所谓的主动区(ACTIVE AREA)。在标准之MOS制造过程中ACTIVE AREA是由一层氮化硅光罩即等接氮化硅蚀刻之后的局部场区氧化所形成的,而由于利用到局部场氧化之步骤,所以ACTIVE AREA会受到鸟嘴(BIRD’S BEAK)之影响而比原先之氮化硅光罩所定义的区域来的小,以长0.6UM之场区氧化而言,大概会有0.5UM之BIRD’S BEAK存在,也就是说ACTIVE AREA比原在之氮化硅光罩所定义的区域小0.5UM。 2 ACTONE 丙酮 1. 丙酮是有机溶剂的一种,分子式为CH3COCH3。2. 性质为无色,具刺激性及薄荷臭味之液体。3. 在FAB内之用途,主要在于黄光室内正光阻之清洗、擦拭。4. 对神经中枢具中度麻醉性,对皮肤黏膜具轻微毒性,长期接触会引起皮肤炎,吸入过量之丙酮蒸汽会刺激鼻、眼结膜及咽喉黏膜,甚至引起头痛、恶心、呕吐、目眩、意识不明等。5. 允许浓度1000PPM。 3 ADI 显影后检查1.定义:After Developing Inspection 之缩写2.目的:检查黄光室制程;光阻覆盖→对准→曝光→显影。发现缺点后,如覆盖不良、显影不良…等即予修改,以维护产品良率、品质。3.方法:利用目检、显微镜为之。 4 AEI 蚀刻后检查 1. 定义:AEI即After Etching Inspection,在蚀刻制程光阻去除前及光阻去除后,分别对产品实施全检或抽样检查。2.目的:2-1提高产品良率,避免不良品外流。2-2达到品质的一致性和制程之重复性。2-3显示制程能力之指针2-4阻止异常扩大,节省成本3.通常AEI检查出来之不良品,非必要时很少作修改,因为重去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加,生产成本增高,以及良率降低之缺点。 5 AIR SHOWER 空气洗尘室进入洁净室之前,需穿无尘衣,因在外面更衣室之故,无尘衣上沾着尘埃,故进洁净室之前,需经空气喷洗机将尘埃吹掉。 6 ALIGNMENT 对准 1. 定义:利用芯片上的对准键,一般用十字键和光罩上的对准键合对为之。2. 目的:在IC的制造过程中,必须经过6~10次左右的对准、曝光来定义电路图案,对准就是要将层层图案精确地定义显像在芯片上面。3. 方法:A.人眼对准B.用光、电组合代替人眼,即机械式对准。 7 ALLOY/SINTER 熔合 Alloy之目的在使铝与硅基(Silicon Substrate)之接触有Ohmic特性,即电压与电流成线性关系。Alloy也可降低接触的阻值。 8 AL/SI 铝/硅靶此为金属溅镀时所使用的一种金属合金材料利用

半导体材料英文缩略语

[材料科学] 半导体材料英文缩略语 材料科学2008-03-24 16:18:46 阅读37 评论0 字号:大中小订阅 援引:MEMC Electronic Materials, Inc. A . -- Angstrom A-defects -- Dislocation loops in Silicon formed by agglomeration of interstitials AA -- Atomic absorption AE -- Acid Etch AFM -- Atomic Force Microscopy ALCVD -- Atomic Layer Chemical Vapor Deposition AMC -- Barrel or batch type Epi reactor (Applied Materials) APCVD -- Atmospheric-Pressure Chemical Vapor Deposition Furnace ASIC -- Application Specific Integrated Circuit ASM -- a single-chamber Epi reactor (ASM America) ASTM -- American Standard Test Method ASTM -- American Society for Testing and Materials B BESOI -- Bonded and Etch Back SOI BGSOI -- Bonded and Grind Back SOI BJT -- Bipolar Junction Transistor BMD -- Bulk Micro-Defects or Bulk Microdefect Density (used almost exclusively as a measure of the oxygen precipitate density) BOE -- Buffered Oxide Etch BOX -- Buried Oxide Layer

相关文档
最新文档