收音机闹钟设计报告
定时叫醒器设计报告

基础工程设计报告一、引言1、本课题属于大学生应掌握的自主研究课题。
属模电,数电基本知识范围,对大学生进一步掌握相关知识有重要作用;2、设计的具体任务:采用压电蜂鸣器发出定时叫醒声响,具有自动清零及调节叫醒时间功能。
3、实验方法和设计;:1)计时器为递减计时,时间间隔为1秒。
递减到零时蜂鸣器报警、发光二极管亮灯;2)具有99以内任意选定进制的定时功能,设置有外部操作开关,控制计时器的显示预置数,直接清零,暂停/继续功能。
二、正文1、系统组成(系统框图):定时叫醒器的总体参考方案框图如下图所示。
它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。
其中计数器和控制电路是系统的主要模块。
计数器完成任意定时计时功能,而控制电路完成计数器的显示预置数、直接清零、暂停/继续计数,译码显示电路的显示定时时间到报警等功能。
定时叫醒器设计总体框图秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。
译码显示电路由74LS48和共阴极七段LED显示器组成。
报警电路在实验中可用发光二极管和鸣蜂器代替。
主体电路:两计数芯片的置数端和清零端分别共用一个开关,开始后,定时叫醒器秒的置数端无效,倒数计时器开始进行倒计时,逐秒倒计到零。
选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。
2、系统方案论证:分析可知,计数器和控制电路是系统的主要部分。
计数器完成计时功能,而控制电路完成计数器的显示预置数、直接清零、暂停/继续计数、译码显示电路的显示、定时时间到报警等功能。
为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。
在操作直接清零开关时,要求计数器清零。
当显示预置数开关闭合、直接清零开关断开时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码器显示电路显示预设值;当显示预置数开关断开时,计数器开始计数;当暂停/继续开关拨在暂停位置上时,计时器停止计数,处于保持状态;当暂停/连续开关拨在连续时,计数器继续递减计数。
毕业设计(论文)-基于单片机的多功能钟控收音机的设计与实现--闹钟子系统的设计与实现[管理资料]
![毕业设计(论文)-基于单片机的多功能钟控收音机的设计与实现--闹钟子系统的设计与实现[管理资料]](https://img.taocdn.com/s3/m/93ab9c330c22590103029d9e.png)
基于单片机的多功能钟控收音机的设计与实现——闹钟子系统的设计与实现摘要收音机是现在生活中的一种娱乐工具,它可以扩展我们的知识面,丰富我们是日常生活。
但是现在的收音机仅仅只拥有收台、听台、存台的功能,功能上非常的单一,为了让收音机具有更强大的的功能,设计了这套基于单片机的多功能钟控收音机系统。
这套系统在传统的收音机上增加了时钟设置、温度测量、液晶显示以及闹钟设置多项功能。
本文主要论述了系统的方案设计,系统硬件设计包括硬件选型和硬件电路图;系统软件设计包括程序流程图设计和关键代码。
通过编写代码实现收音机节目的播放、音量调节、电台切换及节目的自动搜索、节目频点存储功能、时钟设置、温度测量、液晶显示以及闹钟功能。
且能够通过按键调整系统时钟,到达设定闹铃时间值可选择蜂鸣器响或开启收音机到指定频点。
该系统与传统的收音机系统相比较,具有结构简单,抗干扰能力强,测量精度高,使用方便的特点。
关键字:单片机;收音机;闹钟;液晶显示Based on SCM multi-function clock radio control design and realized ——Alarm subsystem of design and implementationAuthor:Li XinfangTutor:Yang BoAbstractThe radio is now in the life of the one kind of entertainment tool, it can expand our knowledge, enrich our daily life is. But now the radio only accept ,listen , save a function, the function is a single, in order to let the radio has more powerful function, the set design based on single chip microcomputer multifunctional clock radio control system. The system in the traditional radio increased the clock set, temperature measurement, liquid crystal display and alarm multiple functions. This paper discusses the design of the whole system, hardware design including hardware selection and hardware circuit diagram; System software design including program flowchart design and key code. By writing code realization of radio programs broadcast, volume adjustment, radio switch and programs to be automatic search, the program frequency memory function, clock set, temperature measurement, liquid crystal display and alarm clock function. And to be able to button to adjust the system clock, to set the alarm time value can choose a buzzer rang or open radio frequency to the specified. This system and the traditional radio system comparison, the structure is simple, strong anti-jamming ability, high accuracy, easy to use features.Key words: Single chip microcomputer; the radio; the alarm clock; liquid crystal display目录1 绪论 0 0研究的目的及意义 0本文结构 (1)2 系统方案设计 (2) (2) (2)收音机模块 (3)时钟模块 (3)温度模块 (3)显示模块 (3)闹钟模块 (3)按键模块 (4)3 系统硬件设计 (5) (5) (5)AT89S52单片机的引脚结构分析 (6)单片机最小系统设计图 (7)显示模块硬件电路设计 (7) (7)显示模块电路设计 (8)按键模块硬件电路设计 (8)时钟模块的硬件电路设计 (9) (9) (9) (10)存储模块硬件电路设计 (11) (11) (11)AT24C02电路设计 (12)打铃模块电路设计 (12)4 系统软件设计 (14)系统软件总体设计 (14)主控模块详细设计 (14)显示模块详细设计 (16)按键模块详细设计 (17)时钟模块详细设计 (18) (18)存储模块详细设计 (20) (21) (23)5系统的调试与实现 (25)C介绍 (25)6 结束语 (27)参考文献 (28)致谢 (29)1 绪论收音机一直在人们的生活娱乐中占有非常重要的地位。
课程设计_数字电子钟设计报告 -终

数字闹钟设计报告目录1. 设计任务与要求 (2)2. 设计报告内容2.1实验名称 (2)2.2实验仪器及主要器件 (2)2.3实验基本原理 (3)2.4数字闹钟单元电路设计、参数计算和器件选择…………………………3-72.5数字闹钟电路图 (8)2.6数字闹钟的调试方法与过程 (8)2.7设计与调试过程的问题解决方案 (8)3.实验心得体会……………………………………………………………………9、101. 设计任务与要求数字闹钟的具体设计任务及要求如下:(1) 有“时”、“分”十进制显示, “秒”使用发光二极管闪烁表示。
(2) 以24小时为一个计时周期。
(3) 走时过程中能按预设的定时时间(精确到小时)启动闹钟, 以发光二极管闪烁表示, 启闹时间为3s~10s。
2. 设计报告内容2.1实验名称数字闹钟2.2实验仪器及主要器件(1)CD4511( 4片)、数码管(4片)(2)74LS00(6片)(3)74LS138(2片)(4)74LS163(6片)(5)LM555(1片)(6)电阻、电容、导线等(若干)(7)面包板(2片)、示波器等2.3数字闹钟基本原理要想构成数字闹钟, 首先应选择一个标准时间源——即秒信号发生器。
可以采用LM555构成多谐振荡器, 通过改变电阻来实现频率的变化, 使之产生1HZ的信号。
计时的规律是: 60秒=1分, 60分=1小时, 24小时=1天, 就需要对计数器分别设计为60进制和24进制的, 并发出驱动信号。
各计数器输出信号经译码器到数字显示器, 按“时”、“分”顺序将数字显示出来, 秒信号可以通过数码管边角的点来显示。
数字闹钟要求有定时响闹的功能, 故需要提供设定闹时电路和对比起闹电路。
设时电路应共享译码器到数字显示器, 以便使用者设定时间, 并可减少电路的芯片数量;而对比起闹电路提供声源, 应具有人工止闹功能, 止闹后不再重新操作, 将不再发生起闹等功能。
数字电子钟的逻辑框图如图所示。
多功能数字闹钟电路设计实验报告

多功能数字闹钟电路设计实验报告
实验目的:设计一个多功能数字闹钟电路,能够显示时间、设定并响起闹铃。
实验原理:本实验采用数字集成电路实现数字显示和闹铃功能。
数字显示部分采用BCD到七段数码管解码器74LS47和共阴
七段数码管进行实现,闹铃部分采用555定时器集成电路作为发生器,通过驱动蜂鸣器发出声音。
实验仪器:多功能数字闹钟电路实验箱、数字集成电路
74LS47、七段数码管、555定时器集成电路、蜂鸣器、电源、
示波器等。
实验步骤:
1. 按照电路图连接电路。
将74LS47连接到七段数码管,将
555定时器连接到蜂鸣器和电路中相应的电源和地线。
2. 上电并调节电路供电电压。
3. 设定时间。
通过拨动开关和按钮进行时间的设定。
4. 切换闹钟状态。
通过开关切换闹钟的开启和关闭状态。
5. 监测闹钟时间。
借助示波器调整闹钟时间的精度。
6. 监测闹钟声音。
确认蜂鸣器发出的声音符合要求。
实验结果:实验中,我们成功设计并调试出了一个多功能数字闹钟电路。
通过拨动开关和按钮可以设定时间,并且可以通过切换开关来设置闹钟的开启和关闭状态。
实验中监测到的闹钟时间和声音都符合预期要求。
结论:通过本次实验,我们成功设计了一个多功能数字闹钟电路,实现了时间显示和闹铃功能。
实验结果显示该电路的性能良好,具有实用价值。
在实验中我们也学到了关于数字集成电路和定时器集成电路的使用和调试方法。
课程设计报告收音机报告

1收音机课程设计报告一、课程设计目的:1.培养学生动手能力和思维能力。
2.丰富自身知识,增加学生专业知识的了解。
3.训练学生用实验方法分析。
研究电子学问题。
4.培养学生养成工作品德和严肃的实验态度。
5.引导和启发学生将模拟电路、数学逻辑电路与科学研究和实践相结合,为今后的学习、工作打下良好的根底。
二、收音机的开展:播送方式从调幅(AM)播送时代开场,经历了调频(FM)播送、调频立体声(FMSTEREO)播送、数字音频播送(DAB)等阶段。
目前,科学家正研究短波段的数字播送(DRM)。
民用播送所使用的频率,经历了长波(LW)、中波(MW)、短波(SW)、超短波调频(FM)、卫星调频播送等阶段;播送的传播距离和覆盖范围也从近距离到利用人造地球卫星进展全球转播等;收音机从矿石收音机、电子管收音机、晶体管收音机、集成电路收音机,到使用微电脑处理器的数字调谐收音机;收音机的根本电路形式、也从直接放大式,到超外差式、屡次变频式电路。
收音机的体积也从笨重变小到微型,而音质却越来越好......20-60年代电子管电路/直放式,外差式长波/中波/短波50-70年代晶体管电路/外差式,屡次变频中波/短波/调频70-80年代集成电路/外差式,屡次变频,数字调谐中波/短波/调频90年代集成电路/外差式,屡次变频,数字调谐中波/短波/调频/数字播送三、超外差式收音机特点及工作原理:最初的收音机属于直放式收音机,它的特点是:从天线上接收到的高频信号,在检波以前,一直不改变它原来的高频频率〔即高频信号直接放大〕。
它的缺点是:在接收频段的高端和低段的放大不一样整个波段的灵敏度不均匀。
如果是多波段收音机,这个矛盾更突出。
其次,如果要提高灵敏度,必须增加高频放大的级数,由此带来各级之间的统一调谐的困难,而且高频放大器增益做不高,容易产生自激。
如果能够把收音机接收到的高频信号,都变换成固定的中频信号进展放大检波。
由于中频频率比变换前的信号频率低,而且频率固定不变,所以任何电台的信号都能得到相等的放大量,同时总的放大量也可以较高。
2024年有关制作收音机设计的实习报告

2024年有关制作收音机设计的实习报告实习报告:2024年制作收音机设计摘要:本实习报告主要介绍了2024年制作收音机设计的实习经历。
收音机是一种常见的电子设备,能够接收收音机台和广播电台的信号并将其转换成声音。
本次实习旨在通过学习电子电路设计、PCB设计以及部件采购等环节,完成一台功能完善且性能优良的收音机设计。
经过几个月的实习,在导师和同事的指导下,我成功完成了收音机设计,并对其进行了调试和优化。
最终的成果得到了肯定,具备了商业化应用的潜力。
1.引言收音机作为一种老牌音频设备,经过多次技术革新和市场更新,仍然保持了其重要性。
在2024年,收音机已经成为人们日常生活中不可或缺的伴侣之一。
因此,本次实习通过制作一台具有创新性和实用性的收音机,帮助我更加深入了解收音机设计的原理和技术。
2.实习目标本次实习的主要目标是设计一台功能完善、性能优良的收音机。
在实现这一目标的过程中,我需要掌握以下技能:1) 学习并理解收音机的基本原理和电路结构;2) 学习使用电子电路设计软件进行电路设计;3) 学习使用PCB设计软件进行PCB布局和线路路径设计;4) 学习选择合适的电子元件,并进行采购;5) 进行电路的组装、调试和优化。
3.实习过程在实习开始之前,我首先对收音机的基本原理进行了学习和研究。
我了解了收音机的信号接收原理、调频调幅解调原理以及音频放大原理等方面的知识,为后续的设计和调试奠定了基础。
接下来,我开始使用电子电路设计软件进行收音机电路的设计。
我根据收音机的基本原理和功能要求,选择了合适的电子元件,并绘制了相应的电路图。
在设计的过程中,我根据导师的指导,结合自己的创意,对电路进行了优化,以提高收音机的性能和稳定性。
完成电路设计后,我开始使用PCB设计软件进行PCB布局和线路路径设计。
在设计的过程中,我要考虑电路的稳定性、电磁兼容、尺寸限制等因素。
通过反复的修改和优化,最终我完成了一张满足设计要求的PCB设计图。
闹钟设计实验报告

闹钟设计实验报告院系: 计算机与通信学院专业: 计算机科学与技术班级: 01154 班姓名: 伍晨曦(13号)指导老师: 杨华一.实验目的:1.学会VHDL语言的并发执行的特点;2.熟悉VHDL的一些语法;3.初步了解VHDL的编程思路;二.内容实验:一个电子钟.能用数码管显示时间.可以更改时间.可以闹铃.. 具有电子钟得功能.即可以正确的显示时间,可以更改时间.可以在规定的时间内闹铃,闹铃的时间为1分钟.闹铃的时间可调.三.实验原理根据VHDL语言编制底层模块,采用基本的图像法来完成顶层的布线,利用VHDL语言编制模块可以省去很多复杂的连线及列写复杂的逻辑函数关系。
其中的时间模块用计数器来模拟.一个24位计数器来模拟小时,两个60位计数器来模拟分钟和秒.其中闹铃里要加一个寄存器来存贮闹铃的设定.显示模块用数码管来显示.按照本课程设计要求及提供的数字逻辑系统EDA实验设备,思路如下:设计好小时、分钟、秒钟、按键、寄存器、扫描、闹铃、七段码、二选一、顶层电路的设计。
这些模块采用VHDL语言设计,然后生成模块存放在库中供以后调用。
采用图形法来设计顶层模块并编译、仿真并下载,生成大模块已完成课程要求。
四:源程序的实现1.小时的模块:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(clk :in std_logic;ho2,ho1 :out std_logic_vector(3 downto 0));end hour;architecture structure of hour issignal h2_temp :std_logic_vector(3 downto 0);signal h1_temp :std_logic_vector(3 downto 0);beginprocess(clk)beginif(clk'event and clk='1') thenif(h2_temp="0010" and h1_temp="0011")thenh2_temp<="0000";h1_temp<="0000";elsif(h1_temp="1001")thenh1_temp<="0000";h2_temp<=h2_temp+1;elseh1_temp<=h1_temp+1;end if;end if;ho2<=h2_temp;ho1<=h1_temp;end process;end structure;波形图2.分钟的模块:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport(clk :in std_logic;cn :out std_logic;ho2,ho1 :out std_logic_vector(3 downto 0));end minute;architecture structure of minute issignal h2_temp :std_logic_vector(3 downto 0); signal h1_temp :std_logic_vector(3 downto 0); beginprocess(clk)beginif(clk'event and clk='1') thenif(h2_temp="0101" and h1_temp="1001")thenh2_temp<="0000";h1_temp<="0000";cn<='1';elsif(h1_temp="1001")thenh1_temp<="0000";h2_temp<=h2_temp+1;elseh1_temp<=h1_temp+1;cn<='0';end if;end if;ho2<=h2_temp;ho1<=h1_temp;end process;end structure;波形图3.秒钟的模块:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity second isport(clk :in std_logic;cn :out std_logic;ho2,ho1 :out std_logic_vector(3 downto 0));end second;architecture structure of second issignal h2_temp :std_logic_vector(3 downto 0);signal h1_temp :std_logic_vector(3 downto 0);beginprocess(clk)beginif(clk'event and clk='1') thenif(h2_temp="0101" and h1_temp="1001")thenh2_temp<="0000";h1_temp<="0000";cn<='1';elsif(h1_temp="1001")thenh1_temp<="0000";h2_temp<=h2_temp+1;elseh1_temp<=h1_temp+1;cn<='0';end if;end if;ho2<=h2_temp;ho1<=h1_temp;end process;end structure;波形图和分钟的一样.4.按键设计的模块:library ieee;use ieee.std_logic_1164.all;entity set isport(clk :in std_logic;hour,minute,second,bar:in std_logic;c1,c2 :in std_logic;sett :in std_logic;setring,setb :out std_logic;seth1,setm1,sets1 :out std_logic;seth,setm,sets :out std_logic);end set;architecture structure of set isbeginprocessbeginif(sett='0')thenseth1<=c2;setm1<=c1;sets1<=clk;seth<=bar and clk and hour;setm<=bar and clk and minute;sets<=bar and clk and second;setring<=bar and clk;setb<=bar;elsesets1<=second and clk;seth1<=hour and clk;setm1<=minute and clk;end if;end process;end structure;5.寄存器模块:library ieee;use ieee.std_logic_1164.all;entity regist isport(hour1,hour2,minute1,minute2,second1,second2 :in std_logic_vector(3 downto 0);set:in std_logic;outh1,outh2,outm1,outm2,outs1,outs2 :out std_logic_vector(3 downto 0) --output :out std_logic);end regist;architecture structure of regist isbeginprocess(set)beginif(set'event and set='1')thenouth1<=hour1;outh2<=hour2;outm1<=minute1;outm2<=minute2;outs1<=second1;outs2<=second2;end if;end process;end structure;波形图:6.闹铃模块:library ieee;use ieee.std_logic_1164.all;entity ring isport(hour1,hour2,minute1,minute2,second1,second2 :in std_logic_vector(3 downto 0);set :in std_logic;h1,h2,m1,m2,s1,s2 :in std_logic_vector(3 downto 0);output :out std_logic;oh1,oh2,om1,om2,os1,os2 :out std_logic_vector(3 downto 0));end ring;architecture structure of ring isbeginprocessbeginoh1<=hour1;oh2<=hour2;om1<=minute1;om2<=minute2;os1<=second1;os2<=second2;if(set='1')thenoutput<='0';elsif(hour1=h1 and hour2=h2 and minute1=m1 and minute2=m2)thenoutput<='1';elseoutput<='0';end if;end process;end structure;波形图:7.扫描电路:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity m1 isport(clk :in std_logic;hour1,hour2,minute1,minute2,second1,second2:in std_logic_vector(3 downto 0);q :out std_logic_vector(5 downto 0);output :out std_logic_vector(3 downto 0));end m1;architecture structure of m1 istype state is(s0,s1,s2,s3,s4,s5);signal presentstate :state;signal nextstate :state;beginswitchtonextstate :process(clk)beginif clk'event and clk='1' thenpresentstate<=nextstate;end if;end process switchtonextstate;changestate :process(presentstate)begincase presentstate iswhen s0=>nextstate<=s1;q<="000001";output<=hour2;when s1=>nextstate<=s2;q<="000010";output<=hour1;when s2=>nextstate<=s3;q<="000100";output<=minute2;when s3=>nextstate<=s4;q<="001000";output<=minute1;when s4=>nextstate<=s5;q<="010000";output<=second2;when s5=>nextstate<=s0;q<="100000";output<=second1;when others=>nextstate<=s0;q<="100000";output<=second1;end case;end process;end structure;波形图:8.二选一电路:library ieee;use ieee.std_logic_1164.all;entity twotoone isport(a,b :in std_logic_vector(3 downto 0);e,f :in std_logic_vector(5 downto 0);set :in std_logic;m :out std_logic_vector(5 downto 0);c :out std_logic_vector(3 downto 0));end twotoone;architecture structure of twotoone isbeginprocessbeginif(set='1')thenc<=a;m<=e;elsec<=b;m<=f;end if;end process;end structure;波形图:9.七段码:library ieee;use ieee.std_logic_1164.all;entity seg_7 isport(input :in std_logic_vector(3 downto 0);segment :out std_logic_vector(6 downto 0));end seg_7;architecture structure of seg_7 isbeginprocess(input)begincase input iswhen "0000" => segment <= "0111111";when "0001" => segment <= "0000110";when "0010" => segment <= "1011011";when "0011" => segment <= "1001111";when "0100" => segment <= "1100110";when "0101" => segment <= "1101101";when "0110" => segment <= "1111101";when "0111" => segment <= "0100111";when "1000" => segment <= "1111111";when "1001" => segment <= "1101111";when others => segment <= "0000000";end case;end process;end structure;10.顶层模块:library ieee;use ieee.std_logic_1164.all;entity clock isport(clk :in std_logic;hour1,minute1,second1 :in std_logic;bar,cp,sett :in std_logic;ring1 :out std_logic;e :out std_logic_vector(5 downto 0);segment :out std_logic_vector(6 downto 0));end clock;architecture structure of clock iscomponent setport(clk :in std_logic;hour,minute,second,bar:in std_logic;c1,c2 :in std_logic;sett :in std_logic;setring,setb :out std_logic;seth1,setm1,sets1 :out std_logic;seth,setm,sets :out std_logic);end component;component hourport(clk :in std_logic;ho2 :out std_logic_vector(3 downto 0);ho1 :out std_logic_vector(3 downto 0));end component;component minuteport(clk :in std_logic;cn :out std_logic;ho2,ho1 :out std_logic_vector(3 downto 0));end component;component secondport(clk :in std_logic;cn :out std_logic;ho2,ho1 :out std_logic_vector(3 downto 0));end component;component registport(hour1,hour2,minute1,minute2,second1,second2 :in std_logic_vector(3 downto 0);set :in std_logic;outh1,outh2,outm1,outm2,outs1,outs2 :out std_logic_vector(3 downto 0));end component;component ringport(hour1,hour2,minute1,minute2,second1,second2 :in std_logic_vector(3 downto 0);set :in std_logic;h1,h2,m1,m2,s1,s2 :in std_logic_vector(3 downto 0);output :out std_logic;oh1,oh2,om1,om2,os1,os2 :out std_logic_vector(3 downto 0));end component;component m1port(clk :in std_logic;hour1,hour2,minute1,minute2,second1,second2:in std_logic_vector(3 downto 0);q :out std_logic_vector(5 downto 0);output :out std_logic_vector(3 downto 0));end component;component twotooneport(a,b :in std_logic_vector(3 downto 0);e,f :in std_logic_vector(5 downto 0);set :in std_logic;m :out std_logic_vector(5 downto 0);c :out std_logic_vector(3 downto 0));end component;component seg_7port(input :in std_logic_vector(3 downto 0);segment :out std_logic_vector(6 downto 0));end component;signal w1,w2,cn2,cn1,cn0 :std_logic;signal h2,h1,a2,a1,s2,s1 :std_logic_vector(3 downto 0);signal h20,h10,m20,m10,s20,s10 :std_logic_vector(3 downto 0);signal h21,h11,m21,m11,s21,s11 :std_logic_vector(3 downto 0);signal h22,h12,m22,m12,s22,s12 :std_logic_vector(3 downto 0);signal q0,q1 :std_logic_vector(5 downto 0);signal p0,p1 :std_logic_vector(3 downto 0);signal c :std_logic_vector(3 downto 0);signal c1,c2 :std_logic;signal sh,sm,ss :std_logic;signal cnm,cns :std_logic;beginu0: set port map(clk,hour1,minute1,second1,bar,c1,c2,sett,w1,w2,cn2,cn1,cn0,sh,sm,ss);u1: hour port map(sh,h20,h10);u2: hour port map(cn2,h2,h1);u3: minute port map(cn1,c2,a2,a1);u4: minute port map(sm,cnm,m20,m10);u5: second port map(cn0,c1,s2,s1);u6: second port map(ss,cns,s20,s10);u7: regist port map(h10,h20,m10,m20,s10,s20,w1,h11,h21,m11,m21,s11,s21);u8: ring port map(h11,h21,m11,m21,s11,s21,w2,h1,h2,a1,a2,s1,s2,ring1,h12,h22,m12,m22,s12,s22);u9: m1 port map(cp,h12,h22,m12,m22,s12,s22,q0,p0);u10: m1 port map(cp,h1,h2,a1,a2,s1,s2,q1,p1);u11: twotoone port map(p0,p1,q0,q1,w2,e,c);u12: seg_7 port map(c,segment);end structure;顶层电路图:五.心得体会:1.进一步熟悉了VHDL的编程思想.2.做实验细心,发挥想象,充分运用所学知识。
虚拟仪器课程设计报告(闹钟)

时间:2012/12/31签名:一、课程设计背景1.前言20多年前,美国国家仪器公司NI (National Instruments)提出“软件即是仪器”的虚拟仪器(VI)概念,引发了传统仪器领域的一场重大革命,使得计算机和网络技术得以长驱直入仪器领域,和仪器技术结合起来,从而开创了“软件即是仪器”的先河。
LabVIEW是NI推出的虚拟仪器开发平台软件,它们能够以其直观简便的编程方式、众多的源码级的设备驱动程序、多种多样的分析和表达功能支持,为用户快捷的构筑自己的实际生产中所需要的仪器系统创造了基础条件。
虚拟仪器由计算机、模块化功能硬件和应用软件三大部分组成,一般而言,虚拟仪器所用的计算机是通用的计算机,虚拟仪器根据其模块化功能硬件不同,而有多种构成方式。
其中NI—DAQ测试系统是构成虚拟仪器VI得最常用的最基本的方式,因为一般而言,这种类型的虚拟仪器成本比较低,它能充分利用计算机的设计能力,并通过软件实现对数据的显示、存储以及分析处理。
图1 虚拟仪器系统框架图2.工具软件简介令的先后顺序决定程序执行顺序,而LabVIEW 则采用数据流编程方式,程序框图中节点之间的数据流向决定了VI及函数的执行顺序。
VI指虚拟仪器,是LabVIEW 的程序模块。
LabVIEW是图形化编程语言,也是第三代的编程语言,LabVIEW是一种程序开发环境,由美国国家仪器(NI)公司研制开发的,类似于C和BASIC开发环境,但是LabVIEW与其他计算机语言的显著区别是:其他计算机语言都是采用基于文本的语言产生代码,而LabVIEW使用的是图形化编辑语言G编写程序,产生的程序是框图的形式。
LabVIEW提供很多外观与传统仪器(如示波器、万用表)类似的控件,可用来方便地创建用户界面。
用户界面在LabVIEW中被称为前面板。
使用图标和连线,可以通过编程对前面板上的对象进行控制。
这就是图形化源代码,又称G 代码。
LabVIEW的图形化源代码在某种程度上类似于流程图,因此又被称作程序框图代码。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
收音机闹钟设计报告
一.产品机能树
闹钟
收音机
二.产品涉及群体定位及分析
定位:60岁左右刚退休的老年人
分析:该群体有很充足的自由活动时间切对生活的质量要求较高,比较追求生活品质;注重锻炼和养生、健康等方面信息;比较关注身边时事,能接受科技前沿产品。
三.产品设计概念定位
本产品为设计定位主要为给老年人外出散步或锻炼时使用。
考虑到使用群体的特殊性,增添了一项心率仪计步器的功能。
在外出时,可以
通过计步器来控制锻炼的强度,也可以通过收音机收听新闻或一些保健养生的信息,同时闹钟功能可以提醒时间。
当然,不仅限于外出,在家里也可以在起床或让厕所时使用。
因为使用场景比较特使,故要求产品具有便携性。
四.第一阶段草图
七.产品尺寸控制图。