简易数字频率计课程设计资料整理
简易频率计的设计课程设计

简易频率计的设计课程设计一、课程目标知识目标:1. 理解并掌握频率的概念,了解频率在电子技术中的应用。
2. 学习简易频率计的设计原理,掌握相关电子元件的功能和连接方式。
3. 掌握简易频率计的电路图绘制方法,理解电路工作原理。
技能目标:1. 能够运用所学知识,设计并搭建一个简易频率计电路。
2. 学会使用相关测量工具和仪器,对简易频率计进行调试和优化。
3. 提高动手实践能力,培养解决实际问题的能力。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识。
2. 培养学生团队合作精神,学会分享和交流。
3. 增强学生环保意识,养成爱护电子设备的好习惯。
本课程针对初中年级学生,结合电子技术基础知识,设计简易频率计课程。
通过本课程的学习,学生能够掌握频率相关知识,提高动手实践能力,培养创新意识和团队合作精神。
课程目标具体、可衡量,为后续教学设计和评估提供明确方向。
在教学过程中,注重理论与实践相结合,充分调动学生的主观能动性,培养符合时代需求的技能型人才。
二、教学内容1. 频率概念及其应用:介绍频率的定义、单位,频率在电子技术中的应用。
相关教材章节:第一章第三节“频率与周期”2. 简易频率计设计原理:讲解简易频率计的工作原理,分析电路中各元件的作用。
相关教材章节:第二章第五节“简易频率计的设计与应用”3. 电子元件及电路连接:学习常用电子元件的功能、符号及使用方法,掌握电路连接技巧。
相关教材章节:第二章第一节“常用电子元件”和第二节“电路的连接方法”4. 简易频率计电路图绘制:学习电路图的绘制方法,根据设计原理绘制简易频率计电路图。
相关教材章节:第二章第四节“电路图的绘制”5. 电路搭建与调试:动手搭建简易频率计电路,使用测量工具进行调试,优化电路性能。
相关教材章节:第三章第二节“电路搭建与调试方法”6. 实践操作与总结:分组进行实践操作,交流心得体会,总结课程所学内容。
相关教材章节:第三章第三节“实践操作与总结”教学内容安排和进度:第一课时:频率概念及其应用,简易频率计设计原理第二课时:电子元件及电路连接,简易频率计电路图绘制第三课时:电路搭建与调试,实践操作与总结教学内容科学系统,注重理论与实践相结合,旨在帮助学生掌握简易频率计的设计与应用,培养动手实践能力和创新意识。
数字频率计课程设计

数字频率计课程设计引言数字频率计是一种用来测量波形信号频率的仪器。
在本次课程设计中,我们将设计并实现一个基于微控制器的数字频率计。
在设计过程中,我们将使用Arduino开发板以及相应的传感器和电路组件。
本文档将介绍该课程设计的目标、设计思路、实现步骤以及预期的结果。
目标本次课程设计的目标是通过设计一个数字频率计来实现以下功能: 1. 测量输入的波形信号的频率。
2. 将测量结果以数字形式在液晶显示屏上显示。
设计思路1.硬件设计:•使用Arduino开发板作为主控制器。
•使用一个脉冲传感器作为输入信号源。
•使用一个液晶显示屏来显示测量结果。
2.软件设计:•使用Arduino编程语言编写程序。
•通过读取脉冲传感器的信号来计算输入信号的频率。
•将计算得到的频率值通过串口传输给液晶显示屏。
实现步骤1.硬件连接:•将脉冲传感器的输出引脚连接到Arduino开发板的数字输入引脚。
•将液晶显示屏的控制引脚连接到Arduino开发板的对应输出引脚。
2.软件编程: ```c // 引入LiquidCrystal库 #include<LiquidCrystal.h>// 定义液晶显示屏的引脚 LiquidCrystal lcd(12, 11, 5, 4, 3, 2);// 定义脉冲传感器的引脚 int pulsePin = 7;// 定义变量存储频率值 float frequency = 0;void setup() { // 初始化液晶显示屏 lcd.begin(16, 2);// 设置脉冲传感器引脚为输入状态 pinMode(pulsePin, INPUT);// 设置波特率为9600 Serial.begin(9600); }void loop() { // 定义变量存储脉冲计数值 int pulseCount = 0;// 计算脉冲计数值 while (pulseCount < 1000) { if (digitalRead(pulsePin) == HIGH) { pulseCount++; delayMicroseconds(100); } }// 计算频率值 frequency = pulseCount / 1000.0;// 在串口上发送频率值 Serial.println(frequency);// 清除液晶屏内容 lcd.clear();// 在液晶屏上显示频率值 lcd.setCursor(0, 0); lcd.print(。
简易频率计课程设计

简易频率计课程设计一、课程目标知识目标:1. 学生能理解频率的基本概念,掌握频率的计算公式。
2. 学生能了解简易频率计的原理,明白其工作过程。
3. 学生掌握如何使用简易频率计进行实验,并能正确读取实验数据。
技能目标:1. 学生能够运用所学知识,动手搭建简易频率计,提高动手实践能力。
2. 学生能够运用计算器或编程软件进行频率的计算,提高数据处理能力。
3. 学生能够通过实验,观察现象,分析问题,培养科学探究能力。
情感态度价值观目标:1. 学生对物理学科产生兴趣,认识到物理知识在实际生活中的应用。
2. 学生养成合作学习的习惯,学会与他人分享实验成果,培养团队精神。
3. 学生通过实验,培养严谨的科学态度和探究精神,树立正确的价值观。
课程性质:本课程为物理学科实验课程,旨在通过实践操作,让学生深入了解频率相关知识。
学生特点:学生为八年级学生,已具备一定的物理知识基础,动手实践能力较强,对新鲜事物充满好奇心。
教学要求:结合学生特点,注重理论与实践相结合,以学生为主体,鼓励学生积极参与实验,培养学生的实践能力和科学素养。
通过本课程的学习,使学生能够将所学知识应用于实际生活中,提高解决问题的能力。
二、教学内容1. 理论知识:- 频率的基本概念:频率的定义、单位、与周期的关系。
- 频率计算公式:频率=1/周期。
- 简易频率计的原理:利用电子元件(如555定时器)产生稳定的方波信号,通过计数器进行计数,计算频率。
2. 实践操作:- 搭建简易频率计电路:学生分组进行实验,根据电路图搭建简易频率计。
- 实验操作步骤:调整信号发生器产生不同频率的信号,使用简易频率计进行测量,记录数据。
3. 教学大纲:- 第一课时:讲解频率的基本概念,让学生了解频率的定义和单位,学会计算频率。
- 第二课时:介绍简易频率计的原理,引导学生学习电路图,了解各元件的作用。
- 第三课时:分组实验,学生动手搭建简易频率计,进行频率测量,记录实验数据。
简易数字频率计(数字电路课程设计)

数字电路课程设计报告1)设计题目简易数字频率计2)设计任务和要求要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:1)测量范围:1H Z—9.999K H Z,闸门时间1s;10 H Z—99.99K H Z,闸门时间0.1s;100 H Z—999.9K H Z,闸门时间10ms;1 K H Z—9999K H Z,闸门时间1ms;2)显示方式:四位十进制数3)当被测信号的频率超出测量范围时,报警.3)原理电路和程序设计:(1)整体电路数显式频率计电路(2)单元电路设计;(a)时基电路信号号(b)放大逻辑电路信号通信号(c)计数、译码、驱动电路号(3)说明电路工作原理;四位数字式频率计是由一个CD4017(包含一个计数器和一个译码器)组成逻辑电路,一个555组成时基电路,一个9014形成放大电路,四个CD40110(在图中是由四个74LS48、四个74LS194、四个74LS90组成)及数码管组成。
两个CD40110串联成一个四位数的十进制计数器,与非门U1A、U1B构成计数脉冲输入电路。
当被测信号从U1A输入,经过U1A、U1B两级反相和整形后加至计数器U13的CP+,通过计数器的运算转换,将输入脉冲数转换为相应的数码显示笔段,通过数码管显示出来,范围是1—9。
当输入第十个脉冲,就通过CO输入下一个CD40110的CP+,所以此四位计数器范围为1—9999。
其中U1A与非门是一个能够控制信号是否输入的计数电路闸门,当一个输入端输入的时基信号为高电平的时候,闸门打开,信号能够通过;否则不能通过。
时基电路555与R2、R3,R4、C3组成低频多谐振荡器,产生1HZ的秒时基脉冲,作为闸门控制信号。
计数公式:]3)2243[(443.1CRRRf++=来确定。
与非门U2A与CD4017组成门控电路,在测量时,当时基电路输出第一个时基脉冲并通过U2A反相后加至CD4017的CP,CD4017的2脚输出高电平从而使得闸门打开。
数字频率计课程设计报告

数字频率计课程设计报告一、课程目标知识目标:1. 让学生理解数字频率计的基本原理,掌握频率、周期等基本概念;2. 使学生掌握数字频率计的使用方法,能够正确操作仪器进行频率测量;3. 引导学生运用已学的数学知识,对测量数据进行处理,得出正确结论。
技能目标:1. 培养学生动手操作仪器的技能,提高实验操作能力;2. 培养学生运用数学知识解决实际问题的能力,提高数据分析处理技能;3. 培养学生团队协作能力,提高实验过程中的沟通与交流技巧。
情感态度价值观目标:1. 培养学生对物理实验的兴趣,激发学习热情;2. 培养学生严谨的科学态度,养成实验过程中认真观察、准确记录的好习惯;3. 引导学生认识到物理知识在实际应用中的价值,提高学以致用的意识。
课程性质:本课程为物理实验课,结合数字频率计的原理与应用,培养学生的实践操作能力和数据分析能力。
学生特点:六年级学生具备一定的物理知识和数学基础,对实验操作充满好奇,具备初步的团队合作能力。
教学要求:结合学生特点,注重理论与实践相结合,以学生为主体,引导学生主动参与实验过程,培养其动手能力和解决问题的能力。
通过课程目标的分解,使学生在实验过程中达到预期的学习成果,为后续教学设计和评估提供依据。
二、教学内容1. 数字频率计基本原理:- 频率、周期的定义与关系;- 数字频率计的工作原理;- 数字频率计的测量方法。
2. 实验操作技能:- 数字频率计的操作步骤;- 实验过程中的注意事项;- 数据记录与处理方法。
3. 教学大纲:- 第一课时:介绍数字频率计的基本原理,让学生了解频率、周期的概念及其关系;- 第二课时:讲解数字频率计的工作原理,引导学生掌握其操作方法;- 第三课时:分组进行实验操作,让学生动手测量不同频率的信号;- 第四课时:对测量数据进行处理与分析,培养学生数据分析能力;- 第五课时:总结实验结果,讨论实验过程中遇到的问题及解决办法。
4. 教材章节:- 《物理》六年级下册:第六章《频率与波长》;- 《物理实验》六年级下册:实验八《数字频率计的使用》。
课程设计实验报告(简易频率计)

实验二:简易电子琴一、实验目的(1)学习采用状态机方法设计时序逻辑电路。
(2)掌握ispLEVER 软件的使用方法。
(3)掌握用VHDL 语言设计数字逻辑电路。
(4)掌握ISP 器件的使用。
二、实验所用器件和设备在系统可编程逻辑器件ISP1032一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容设计一个简易频率计,用于测量1MHz 以下数字脉冲信号的频率。
闸门只有1s 一档。
测量结果在数码管上显示出来。
不测信号脉宽。
用一片ISP芯片实现此设计,并在实验台上完成调试。
实验设计:1.产生准确闸门信号(1s)。
100kHz时钟经100K分频(一次完成),再经2分频产生方波,1s 用于计数,1s用于显示结果(及清零);2. 利用闸门信号控制一个计数器对被测脉冲信号进行计数, 1s内计数的结果就是被测信号的频率;3.每次对被测信号计数前,自动清零;4. 计数器采用十进制;5.显示频率值上下浮动,要修改源代码。
6.数码管高低位的接线。
VHDL源代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity fget isport(clk:in std_logic; --输入时钟源 bclk:in std_logic;kout:out std_logic_vector(26 downto 0));end fget;architecture top of fget iscomponent divideport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end component;component gateport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0));end component;component saveport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end component;signal scl:std_logic;signal sco:std_logic;signal sro:std_logic;signal sop:std_logic_vector(26 downto 0);BEGINu1:divide PORT MAP(clk,scl,sco,sro);u2:gate PORT MAP(bclk,scl,sco,sop);u3:save PORT MAP(sop,sro,kout);end;--闸门模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity gate isport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0) --5个8421,一个七段译码);end;architecture art2 of gate iscomponent cnt10 --十进制计数模块port(clk2:in std_logic;en:in std_logic;--使能端clr:in std_logic;--重置qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end component;component seventranse --七段模块port(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end component;signal scout1:std_logic;--输出(十进制)signal scout2:std_logic;signal scout3:std_logic;signal scout4:std_logic;signal scout5:std_logic;signal scout6:std_logic;signal qout1:std_logic_vector(3 downto 0);--8421(下同)signal qout2:std_logic_vector(3 downto 0);signal qout3:std_logic_vector(3 downto 0);signal qout4:std_logic_vector(3 downto 0);signal qout5:std_logic_vector(3 downto 0);signal qout6:std_logic_vector(3 downto 0);signal op2: std_logic_vector(6 downto 0);--七段beginr1:cnt10 PORT MAP(bc1,co1,cl1,qout1,scout1);r2:cnt10 PORT MAP(scout1,co1,cl1,qout2,scout2);r3:cnt10 PORT MAP(scout2,co1,cl1,qout3,scout3);r4:cnt10 PORT MAP(scout3,co1,cl1,qout4,scout4);r5:cnt10 PORT MAP(scout4,co1,cl1,qout5,scout5);r6:cnt10 PORT MAP(scout5,co1,cl1,qout6,scout6);t1:seventranse PORT MAP(qout6,op2);process(co1)beginif (co1'event and co1='0') thenop<=op2&qout5&qout4&qout3&qout2&qout1;--结果显示end if;end process;end;--寄存器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity save isport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end entity;architecture art4 of save issignal temp:std_logic_vector(26 downto 0);beginprocess(ro1)beginif ro1'event and ro1='1'then --上升沿判断temp<=op1;end if;eout<=temp;end process;end art4;--十进制计数器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity cnt10 isport(clk2:in std_logic;en:in std_logic;clr:in std_logic;qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end entity;architecture art3 of cnt10 issignal temp:std_logic_vector(3 downto 0 );beginprocess(clk2,en,clr)beginif (clr='1') then --重置时清0temp<="0000";elsif (en='1') thenif(clk2'event and clk2='1')then --上升沿判断进位if(temp="1001")thentemp<="0000";elsetemp<=temp+1;end if;end if;end if;end process;qout<=temp;cout<='1' when temp="1001" else '0';end art3;--分频模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity divide isport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end;architecture art1 of divide issignal preclk:std_logic;signal temp1:std_logic;signal temp2:std_logic;beginp1: process(clk1) --先进行2500分频variable count:integer range 0 to 2500;beginif clk1'event and clk1='1'thenif count=2499 thencount:=0;preclk<='1';else count:=count+1;preclk<='0';end if;end if;end process;p2: process(preclk) --依次二分频实现闸门beginif preclk'event and preclk='1'thentemp2<=not temp2;end if;end process;p3: process(temp2)variable count2:std_logic;beginif temp2'event and temp2='1'thencount2:=not count2;if count2='1'thenco<='1';temp1<='0';else co<='0';temp1<='1';end if;end if;end process;p4:process(temp2)beginif (temp1='1' and temp2='0') thencl<='1';elsecl<='0';end if;end process;ro<=temp1;end;--七段译码器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity seventranse isport(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end entity;architecture art5 of seventranse isbeginprocess(clk6)begincase clk6 iswhen "0000"=> op2<="1111110";when "0001"=> op2<="0110000";when "0010"=> op2<="1101101";when "0011"=> op2<="1111001";when "0100"=> op2<="0110011";when "0101"=> op2<="1011011";when "0110"=> op2<="1011111";when "0111"=> op2<="1110000";when others=> op2<="0000000";end case;end process;end art5;四、实验小结:实验要求用闸门信号控制计数器计时,于是在十进制计数器模块中添加使能信号en(en=‘1’计数器进行加1 计数,en=‘0’时计数器保持),将闸门信号作为使能信号接入,即可实现1s计数,1s显示。
简易数字频率计资料

郑州轻工业学院课程设计说明书题目:简易数字频率计姓名:院(系):电气信息工程学院专业班级:电气工程及其自动12-02学号:指导教师:孙君曼成绩:时间:2014 年02 月17 日至2014 年06 月10 日郑州轻工业学院课程设计(论文)任务书题目简易数字频率计专业电气工程12 -02学号姓名主要内容、基本要求、主要参考资料等:主要内容1.阅读相关科技文献。
2.学习protel软件的使用。
3.学会整理和总结设计文档报告。
4.学习如何查找器件手册及相关参数。
技术要求1.要求测量频率范围1Hz-100KHz,量程分为4档,即×1、×10、×100、×1000。
2.要求被测量信号可以是正弦波、三角波和方波。
3.要求测试结果用数码管表示出来,显示方式为4位十进制。
主要参考资料1.何小艇,电子系统设计,浙江大学出版社,2001年6月2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月5.康华光,电子技术基础,高教出版社,2003完成期限: 2014 年 6 月 18 日指导教师签章:专业负责人签章:2014 年 2 月 16 日在当代电子设备中运用中,经常要测量一个波形的频率,然后对其进行分析研究。
为了测量频率,就要用到频率计。
在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。
频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。
正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。
数字频率计的设计包括时基电路、整形电路、控制电路和计数显示电路四部分组成。
由时基电路产生一标准时间信号控制阀门,调节时基电路中的电阻可产生需要的标准时间信号。
简易频率计课程设计报告

简易频率计课程设计报告一、课程目标知识目标:1. 理解频率的基本概念,掌握频率的定义及计算方法;2. 了解简易频率计的原理,学会使用简易频率计进行频率测量;3. 能够运用频率知识解释日常生活中的相关现象。
技能目标:1. 学会使用简易频率计进行实验操作,提高实验操作能力;2. 能够运用频率计算公式进行数据处理,提高数据分析能力;3. 通过小组合作,提高沟通协作能力。
情感态度价值观目标:1. 培养学生对物理实验的兴趣,激发学生的探究欲望;2. 培养学生严谨的科学态度,注重实验数据的准确性;3. 增强学生的环保意识,关注频率相关领域的科技发展。
本课程针对初中物理学科,结合学生年级特点,注重理论与实践相结合,提高学生的实验操作能力和数据分析能力。
在教学过程中,关注学生的个体差异,激发学生的学习兴趣,培养学生的团队合作精神。
通过本课程的学习,使学生能够掌握简易频率计的使用,并将其应用于实际生活中,达到学以致用的目的。
同时,注重情感态度价值观的培养,引导学生关注科学进步,提高学生的综合素质。
二、教学内容1. 频率基本概念:引入频率的定义,解释频率与周期的关系,阐述频率在实际应用中的重要性。
2. 简易频率计原理:介绍简易频率计的结构、工作原理及使用方法,结合教材相关章节,进行图文并茂的讲解。
3. 频率测量实验:组织学生进行简易频率计的实验操作,包括搭建实验装置、进行频率测量以及数据处理。
- 教材章节:第三章第三节《频率与振动》- 内容列举:频率的定义、频率与周期的关系、简易频率计的结构与原理、实验操作步骤。
4. 数据处理与分析:指导学生运用频率计算公式进行数据处理,分析实验结果,探讨影响频率测量结果的因素。
5. 课堂讨论与总结:针对实验过程中遇到的问题和现象,组织学生进行讨论,引导学生运用所学知识进行解释,总结实验经验和教训。
教学内容根据课程目标进行科学性和系统性的组织,注重理论与实践相结合。
在教学过程中,依据教材章节进行教学大纲的制定,明确教学内容的安排和进度,确保学生在掌握频率知识的基础上,能够顺利进行简易频率计的实验操作和数据分析。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1. 被测信号的频率范围 100HZ~100KH 2. 输入信号为正弦信号或方波信号 3. 四位数码管显示所测频率,并用发光二极管表示单位 4. 具有超量程报警功能 二、摘要
以门电路,触发器和计数器为核心,由信号输入、放大整形、闸门电路、 计数、数据处理和数据显示等功能模块组成。放大整型电路:对被测信号进 行预处理;闸门电路:由与门电路通过控制开门关门,攫取单位时间内进入 计数器的脉冲个数;时基信号:周期性产生一秒高电平信号;计数器译码电 路:计数译码集成在一块芯片上,计单位时间内脉冲个数,把十进制计数器 计数结果译成 BCD 码;显示:把 BCD 码译码在数码管显示出来。 关键字:比较器,闸门电路,计数器,锁存器,逻辑控制电路 三、方案论证与选择 <1>频率测量原理与方法 对周期信号的测量方法,常用的有下述几种方法。 1、测频法(M 法)
等待下轮开门时间计数; 5 当出现③时,需要手动关闭开关,给 JK 触发器清零,蜂鸣器停止报
警,再闭合开关使电路重新开始测频率。 4.显示电路
利用 DCD_HEX LED 显示器自带译码功能显示所计频率的大小。 五、单元电路设计、主要元器件选择与电路参数计算 <1>放大电路图如 图 5.1.1
四、方案的原理框图、总体电路图、接线图以及说明 <1>方案原理框图
<2>总体电路图
<3>工作过程说明
图 4.2
1.放大整形电路 任意形式信号经过施密特触发器放大整形变成方波信号,和脉冲信号 一起控制与门的开启与关闭,
2.秒脉冲控制 时基电路由定时器555构成的多谐振荡器产生,通过计算调节电阻和电 容的接入值,使输出高电平的持续时间为ls。开关闭合后与JK触发器的 Q端一起控制给计数器CLK脉冲的门电路,同时控制着计数器的清零端和 锁存器(D触发器)的锁存端。
N2 的绝对误差为△N=±1 N2 的相对误差为&N2=(N2-N)/N=(N±1-N)/N=±1/N 从 T2 的相对误差可以看出,周期测量的误差与信号频率成正比,而与高频你 标准计数信号的频率成反比。当 fosc 为常数时,被测信号频率越低,误差越 小,测量精度也就越高。
测周法原理图 <2>频率测量方案选择
由上式可知,f1 的相对误差与 f 成反比关系,即信号频率越高,误差越 小;而信号频率越低,则测量误差越大。因此,M 法适合于对高频信号的测 量,频率越高,测量精度也越高。
测频法原理图 2、测周法(T 法)
首先把被测信号通过二分频,获得一个高电频时间和低电平时间都是一 个信号周期 T 的方波信号;然后用一个已知周期的高频方波信号作为计数脉 冲,在一个信号周期 T 的时间内对此高频信号进行计数。 若在 T 时间内的计数值为 N2,则有 T2=N2*Tosc f2=1/T=1/(N2* Tosc)= fosc/N2
对频率为 f 的周期信号,测频法的实现方法,是用以标准闸门信号对被 测信号的重复周期数进行计数,当计数结果为 N 时,其频率为:f1=N1/TG。 TG 为标准闸门宽度,N1 是计数器计出的脉冲个数,
设在 TG 期间,计数器的精确计数值为 N,根据计数器的技术特性可知, N1 的绝对误差是△N1=N±1,N1 的相对误差为&N1=(N1-N)/N=(N±1-N)/N= ±1/N,由 N1 的相对误差可知,N(或 N1)的数值愈大,相对误差愈小,成反 比关系。因此,在 f 已确定的条件下,为减小 N1 的相对误差,可通过增大 TG 的方法来降低测量误差。但是,增大 TG 会使频率测量的响应时间长。当 TG 为 确 定 值 时 ( 通 常 取 TG=1s) , 则 有 f=N , 固 有 f1 的 相 对 误 差 : &f1=(f1-f)/f=(f±1-f)/f=±1/f
图 5.1.1 CON 端通过电阻分压提供一个 0.5V 的基准电压 Ua,输入频率信号的幅值 与 1/2Ua 和 Ua 进行比较,输出同频率的方波。 输入输出波形图如图 5.1.2(正弦波为输入信号、方波为输出信号)
3.计数寄存译码 经过整形放大后的方波信号在与门 U22A 开门的 1 秒内给计数器提供计 数脉冲,与门打开瞬间计数器 74LS160N 清零结束,74LS273DW 处于锁 存状态,计数器开始计数, 1 当计数值未超过四位数码管的量程时,即 U7 输出为 0000,4 或门 U 3A 输出为 0,非门 U23B 输出 1,小数点熄灭,74LS257N 选择低四 片计数芯片,单位为 Hz; 2 当计数值超过四位数码管的量程时,即 U7 输出不为 0000,小数点 亮,74LS257 选择高四片计数芯片,单位为 KHz; 3 当计数值超过 100KHz 时,U7 给 JK 触发器一个脉冲,JK 触发器翻转, Q=1,蜂鸣器报警, Q 非和多谐振荡器通过与门 U22B 电路输出 0, 所有计数器清零,与门 U22A 输出为 0; 4 当为①、②两种情况时,每经过 1S 的开门时间后,下降沿通过非门 U23A 给 74LS273 一个上升沿锁存数据,低电平使计数器全部清零,
根据性能和技术指标的要求,首先需要确定能满足这些指标的频率测量 方法。有上述对各种方法的讨论可知,M 法是在给定的闸门时间内测量被测 信号的脉冲个数,进行换算得出被测信号的频率。这种测量方法的测量精度 取决于闸门时间和被测信号频率。当被测信号频率较低时将产生较大误差, 除非闸门时间取得很大。这种方法比较适合测量高频信号的频率。T 法是通 过测量被测信号的周期然后换算出被测信号的频率。这种测量方法的测量精 度取决于被测信号的周期和计时精度,当被测信号频率较高时,对计时精度 的要求就很高。这种方法比较适合测量频率较低的信号。综合以上几种方案 的优缺点和该课题的频率范围和精确度的要求,我们选择直接测频法。对测 量频率的最低值 100Hz 来说,相对误差最大为 1%,可以满足要求,随着测量 频率的增大,相对误差逐渐减小。