ISE12.4使用手册
ISE教程

光盘放进DVD光驱,等待其自动运行(如果没有自动运行,直接执行光盘目录下的Setup.exe文件程序即可),会弹出图4-1所示的欢迎界面,点击“Next”进入下一页。
图4-1 ISE安装过程的欢迎界面2.接着进入注册码获取、输入对话框,如图4-2所示。
注册码可以通过网站、邮件和传真方式申请注册码。
如果已有注册码,输入后单击“Next”按键后继续。
图4-2 ISE9.1安装程序的注册码输入界面购买了正版软件后,最常用的方法就是通过网站注册获取安装所需的注册码。
首先在Xilinx的官方主页上建立自己的帐号,然后点击图4-1中的“Website”按键,登陆帐号,输入CD盒上的产品序列号(序号的格式为:3个字符+9个数字),会自动生成16位的注册码,直接记录下来即可,同时Xilinx网站会将注册码的详细信息发送到帐号所对应的邮箱中。
3.下一个对话框是Xilinx软件的授权声明对话框,选中“I accept the terms of this software license”,单击“Next”后进入安装路径选择界面,如图4-3所示。
单击“Browse”按键后选择自定义安装路径,单击“Next”按键继续图4-3 ISE软件安装路径选择对话框4.接下来的几个对话框分别是选择安装组件选择,如图4-4所示,用户需要选择自己使用的芯片所对应的模块,这样才能在开发中使用这些模块。
在计算机硬盘资源不紧张的情况下,通常选择“Select All”。
图4-4 ISE安装组件选择界面评论[支持者: 0 人,反对者: 0 人,中立者: 0 人] 查看评论信息2008-11-26 20:53:00第3楼小大个性首页| QQ5.随后进入设置环境变量页面,保持默认即可。
如果环境变量设置错误,则安装后不能正常启动ISE。
选择默认选项,安装完成后的环境变量,其值为安装路径。
最后进入安装确认对话框,单击Install按钮,即可按照用户的设置自动安装ISE,如图4-5所示图4-5 ISE安装进程示意图6.安装完成后,会在桌面以及程序菜单中添加Project Navigator的快捷方式。
cisco cisco ISE 设备管理 使用指南说明书

设备管理•TACACS+设备管理,第1页•设备管理工作中心,第2页•设备管理部署设置,第3页•设备管理策略集,第3页•创建设备管理策略集,第4页•TACACS+身份验证设置和共享密钥,第5页•设备管理-授权策略结果,第7页•访问命令行界面以更改启用密码,第13页•配置全局TACACS+设置,第14页•从思科安全ACS将数据迁移至思科ISE,第15页•监控设备管理活动,第15页TACACS+设备管理思科ISE支持设备管理通过使用终端访问控制器访问控制系统(TACACS+)安全协议控制,来控制和审计网络设备的配置。
网络设备可以配置为向思科ISE查询对设备管理员操作所进行的身份验证和授权,并发送思科ISE的记账信息以记录操作。
它可以促进对谁可以访问哪个网络及更改关联网络设置进行精细控制。
思科ISE管理员可以创建策略集,允许在设备管理访问服务的授权策略规则中选择TACACS结果(如命令集和外壳配置文件)。
思科ISE监控节点可提供与设备管理相关的增强型报告。
“工作中心”(Work Center)菜单中包含所有设备管理页面,可作为ISE管理员的单一入手点。
思科ISE需要设备管理许可证才能使用TACACS+。
设备管理中存在两种类型的管理员•设备管理员•思科ISE管理员设备管理员是指登录到交换机、无线接入点、路由器和网关(一般通过SSH)等网络设备以执行对所管理设备进行配置和维护的用户。
思科ISE管理员可登录思科ISE,配置并协调设备管理员所登录的设备。
思科ISE 管理员是本文档的目标读者,他们可登录思科ISE 以配置相应的设置,控制设备管理员的操作。
思科ISE 管理员使用设备管理功能(在思科ISE GUI 中,单击菜单图标(),然后选择工作中心(Work Centers)>设备管理(Device Administration))来控制和审核网络设备的配置。
设备可配置为使用终端访问控制器访问控制系统(TACACS)安全协议来查询思科ISE 服务器。
cisco ise 安装指南说明书

安装思科ISE•使用CIMC安装思科ISE,第1页•运行设置程序,第3页•验证安装过程,第6页使用CIMC安装思科ISE本部分列出简要安装步骤帮助您快速安装思科ISE:开始之前•确保您已满足本指南中指定的系统要求。
•(可选;仅在虚拟机上安装思科ISE时需要满足此要求)确保您已正确创建虚拟机。
有关详细信息,请参阅以下主题:•配置VMware服务器•在KVM上安装思科ISE•在Hyper-V上创建思科ISE虚拟机•(可选;仅在SNS硬件设备上安装思科ISE时需要满足此要求)确保要设置思科集成管理接口(CIMC)配置实用程序以管理设备并配置BIOS。
有关详细信息,请参阅以下文档:•有关SNS3500系列设备,请参阅Cisco SNS-3500系列设备硬件安装指南。
•有关SNS-3600系列设备,请参阅思科SNS-3600系列设备硬件安装指南。
步骤1如果要在以下设备上安装思科ISE:•思科SNS设备-安装硬件设备。
连接到CIMC进行服务器管理。
•虚拟机-确保VM已正确配置。
步骤2下载思科ISE ISO 映像。
a)转至/go/ise 。
您必须已经具有有效的 登录凭证才能访问此链接。
b)点击Download Software for this Product 。
思科ISE 映像上已经安装90天的评估许可证,因此在完成安装和初始配置后,可以对所有思科ISE 服务进行测试。
步骤3启动设备或虚拟机。
•思科SNS 设备:1.连接到CIMC 并使用CIMC 凭证登录。
2.启动KVM 控制台。
3.选择Virtual Media >Activate Virtual Devices 。
4.选择Virtual Media >Map CD/DVD ,并选择ISE ISO 映像,然后点击Map Device 。
5.选择Macros >Static Macros >Ctrl-Alt-Del 以使用ISE ISO 映像启动设备。
Documentum Connector软件版本12.4.0发布说明说明书

Document Release Date: October 2019 Software Release Date: October 2019Legal noticesCopyright notice© Copyright 2019 Micro Focus or one of its affiliates.The only warranties for products and services of Micro Focus and its affiliates and licensors (“Micro Focus”) are set forth in the express warranty statements accompanying such products and services. Nothing herein should be construed as constituting an additional warranty. Micro Focus shall not be liable for technical or editorial errors or omissions contained herein. The information contained herein is subject to change without notice.Documentation updatesThe title page of this document contains the following identifying information:l Software Version number, which indicates the software version.l Document Release Date, which changes each time the document is updated.l Software Release Date, which indicates the release date of this version of the software.To check for updated documentation, visit https:///support-and-services/documentation/. SupportVisit the MySupport portal to access c ontact information and details about the products, services, and support that Micro Focus offers.This portal also provides customer self-solve capabilities. It gives you a fast and efficient way to access interactive technical support tools needed to manage your business. As a valued support customer, you can benefit by using the MySupport portal to:l Search for knowledge documents of interestl Access product documentationl View software vulnerability alertsl Enter into discussions with other software customersl Download software patchesl Manage software licenses, downloads, and support contractsl Submit and track service requestsl Contact customer supportl View information about all services that Support offersMany areas of the portal require you to sign in. If you need an account, you can create one when prompted to sign in. To learn about the different access levels the portal uses, see the Access Levels descriptions.ContentsNew in this Release4 Resolved Issues5 Supported Operating System Platforms6 Documentation7New in this ReleaseNew in this ReleaseThe following new features were released in Documentum Connector version 12.4.0.l The NiFi Ingest connector includes additional processors (FetchDocumentum,DeleteDocumentum, PutDocumentum, HoldDocumentum, and ViewDocumentum) that perform the collect, delete, insert, hold, and view actions. For information about handling actions with NiFiIngest, refer to the NiFi Ingest documentation.l In schedules defined with the schedule action, you can now add holiday periods during which no actions are started.l Communications can be secured with TLS version 1.3.Resolved IssuesResolved IssuesThe following issues were resolved in Documentum Connector version 12.4.0.l Schedules created with action=Schedule were lost if the server was restarted.l On Linux, when running some older versions of the Linux kernel, IDOL components could fail to connect to network ports.Supported Operating System PlatformsSupported Operating System PlatformsDocumentum Connector 12.4.0 is supported on the following platforms.Windows (x86-64)l Windows Server 2019l Windows Server 2016l Windows Server 2012l Windows 7 SP1l Windows Server 2008 R2l Windows Server 2008 SP2Linux (x86-64)The minimum supported versions of particular distributions are:l Red Hat Enterprise Linux (RHEL) 6l CentOS 6l SuSE Linux Enterprise Server (SLES) 12l Ubuntu 14.04l Debian 8Solaris (x86-64 and SPARC 64)l Solaris 11l Solaris 10DocumentationDocumentationThe following documentation was updated for Documentum Connector version 12.4.0.l Documentum Connector Administration Guidel Documentum Connector Reference。
索莱宝SE124说明书

索莱宝SE124说明书
一、外观和基本操作
设备提供了多种解锁方式,可通过密码、图案、指纹等来解锁屏幕。
用户还可以自定义通知中心、背景图像和主屏幕布局,使设备个性化。
二、通信和联网功能
设备支持双卡双待功能,用户可以同时使用两个SIM卡,非常方便。
同时,索莱宝SE124还支持语音识别和实时翻译功能,使用户能够更便捷地进行沟通。
三、娱乐和多媒体功能
该设备配备了一颗强大的处理器和大容量的存储空间,用户可以在设备上玩游戏、观看高清视频等。
此外,用户还可以通过设备上的摄像头进行视频拍摄,或者通过USB接口将设备连接到电视上进行内容投射。
四、安全和隐私功能
此外,该设备还提供了隐私模式,用户可以将个人文件、应用程序和图片等隐藏起来,以免被他人访问。
五、电池和续航能力
总结
索莱宝SE124是一款功能全面的电子产品,不仅外观精美,而且拥有丰富的功能和出色的性能。
设备提供了多种通信和联网方式,还具备娱乐和多媒体功能,安全和隐私功能更是为用户的信息安全提供了保障。
设备的续航能力也非常强大,在日常使用中能够长时间满足用户的需求。
请在使用索莱宝SE124之前详细阅读本说明书,并按照说明书中的操作指南进行正确使用,以便获得更好的使用体验。
ISE使用教程(修改稿)

点击project菜单的New Source
设计输入
• 要完成这个计数器模块,可以在ISE自动生成的代 码框架基础上通过自己编写代码完成,也可使用 ISE的语言模板(ISE Language Template)工具 来完成。 • 选择Edit->Language Template或者通过点击工 具栏中最右端的灯泡按钮 来打开语言模板。 在语言模版(Language Template )窗口中,有 很多Xilinx提供的参考代码片断,找到需要的 counter代码片断。
4、为元件添加连线(add the wire )
注意:在元件端口拉出一小段线段为以后添加网线名称并 设置I/O管教做准备,否则可能添加不上 5、添加网线名(add the branch) 6、设置I/O管理 7、生成器件符号
8、调用项目生成的器件符号,进行系统设计 9、绘制总线 10、检查
谢谢大家!
ISE软件概述
ISE系列软件特点综述
• Xilinx作为当今世界上最大的FPGA/CPLD生产 商之一,长期以来一直推动着FPGA/CPLD技 术的发展。其开发的软件也不断升级换代,由 早期的Foundation系列逐步发展到目前的 ISE10.x系列。 • ISE是集成综合环境(Integrated Software Environment)的简称,是Xilinx提供的一套工 具集,其集成的工具可以完成FPGA/CPLD从 源码输入、仿真、综合到下板调试的整个开发 过程。
几点需要注意的地方
• 用 ModelSim 进行仿真,包括 行为仿真亦 即功能仿真和布局布线后的仿真亦即时序 仿真(详细可以去参考ModelSim教程)
用ISE自带的仿真工具仿真
• ISE仿真工具设置
AEM Connector Software版本12.4.0说明书

Document Release Date: October 2019 Software Release Date: October 2019Legal noticesCopyright notice© Copyright 2019 Micro Focus or one of its affiliates.The only warranties for products and services of Micro Focus and its affiliates and licensors (“Micro Focus”) are set forth in the express warranty statements accompanying such products and services. Nothing herein should be construed as constituting an additional warranty. Micro Focus shall not be liable for technical or editorial errors or omissions contained herein. The information contained herein is subject to change without notice.Documentation updatesThe title page of this document contains the following identifying information:l Software Version number, which indicates the software version.l Document Release Date, which changes each time the document is updated.l Software Release Date, which indicates the release date of this version of the software.To check for updated documentation, visit https:///support-and-services/documentation/. SupportVisit the MySupport portal to access c ontact information and details about the products, services, and support that Micro Focus offers.This portal also provides customer self-solve capabilities. It gives you a fast and efficient way to access interactive technical support tools needed to manage your business. As a valued support customer, you can benefit by using the MySupport portal to:l Search for knowledge documents of interestl Access product documentationl View software vulnerability alertsl Enter into discussions with other software customersl Download software patchesl Manage software licenses, downloads, and support contractsl Submit and track service requestsl Contact customer supportl View information about all services that Support offersMany areas of the portal require you to sign in. If you need an account, you can create one when prompted to sign in. To learn about the different access levels the portal uses, see the Access Levels descriptions.ContentsNew in this Release4 Resolved Issues5 Supported Operating System Platforms6 Documentation7New in this ReleaseNew in this ReleaseThe following new features were released in AEM Connector version 12.4.0.l The NiFi Ingest connector includes a processor to perform the view action (ViewAEM).l In schedules defined with the schedule action, you can now add holiday periods during which no actions are started.Resolved IssuesResolved IssuesThe following issues were resolved in AEM Connector version 12.4.0.l Schedules created with action=Schedule were lost if the server was restarted.l On Linux, when running some older versions of the Linux kernel, IDOL components could fail to connect to network ports.Supported Operating System PlatformsSupported Operating System PlatformsAEM Connector 12.4.0 is supported on the following platforms.Windows (x86-64)l Windows Server 2019l Windows Server 2016l Windows Server 2012l Windows 7 SP1l Windows Server 2008 R2l Windows Server 2008 SP2Linux (x86-64)The minimum supported versions of particular distributions are:l Red Hat Enterprise Linux (RHEL) 6l CentOS 6l SuSE Linux Enterprise Server (SLES) 12l Ubuntu 14.04l Debian 8Solaris (x86-64 and SPARC 64)l Solaris 11l Solaris 10DocumentationDocumentationThe following documentation was updated for AEM Connector version 12.4.0.l AEM Connector Administration Guidel AEM Connector Reference。
ISE使用指南基础篇

第4章ISE开发环境使用指南第1节ISE套件的介绍与安装4.1.1ISE简要介绍Xilinx是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广泛的高级集成电路、软件设计工具以及定义系统级功能的IP(Intellectual Property)核,长期以来一直推动着FPGA技术的发展。
Xilinx 的开发工具也在不断地升级,由早期的Foundation系列逐步发展到目前的ISE9.1i系列,集成了FPGA开发需要的所有功能,其主要特点有:包含了Xilinx新型SmartCompile技术,可以将实现时间缩减2.5倍,能在最短的时间内提供最高的性能,提供了一个功能强大的设计收敛环境;全面支持Virtex-5系列器件(业界首款65nm FPGA);集成式的时序收敛环境有助于快速、轻松地识别FPGA设计的瓶颈;可以节省一个或多个速度等级的成本,并可在逻辑设计中实现最低的总成本。
Foundation Series ISE具有界面友好、操作简单的特点,再加上Xilinx的FPGA芯片占有很大的市场,使其成为非常通用的FPGA工具软件。
ISE作为高效的EDA设计工具集合,与第三方软件扬长补短,使软件功能越来越强大,为用户提供了更加丰富的Xilinx平台。
4.1.2ISE功能简介ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了FPGA开发的全过程,从功能上讲,其工作流程无需借助任何第三方EDA软件。
设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(The ISE Text Editor),用于原理图编辑的工具ECS(The Engineering Capture System),用于生成IP Core的Core Generator,用于状态机设计的StateCAD以及用于约束文件编辑的Constraint Editor等。
综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌Mentor Graphics公司的LeonardoSpectrum和Synplicity公司的Synplify,实现无缝链接。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Xilinx ISE 12.4使用手册------Edit by C2_305 1.Xilinx ISE 12.4用户界面ISE界面如下图所示,由上到下主要分为标题栏、菜单栏、工具栏、工程管理区,源文件编辑区、过程管理区、信息显示区、状态栏等八部分。
过程管理区图1.1 ISE界面标题栏:主要显示当前工程的路径、名称及当前打开的文件名称;菜单栏:主要包括文件(File)、编辑(Edit)、视图(View)、工程(Project)、源文件(Source)、操作(Process)、工具(Toll)、窗口(Window)、帮助(Help)等八个下拉菜单;工具栏:主要包括了常用命令的快捷按钮;工程管理区:提供工程及其相关文件的管理和显示功能。
主要包括设计页面(Design)、文件页面(Files)、开始视图(Start)和库视图(Liabrary)。
其中,设计页面视图最常见,显示了源代码的层次关系;源文件编辑区:提供了源代码的编辑功能;过程管理区:本窗口显示的内容取决于过程管理区所选择文件,相关操作和FPGA设计流程相关,包括设计输入、综合、仿真、实现和生成配置文件等;信息显示区:显示ISE中的处理信息,如操作步骤信息、警告信息和错误信息等;状态显示栏:显示相关命令和操作的信息,并指示ISE软件当前所处的状态;2.新建工程打开ISE,选择File|New Project,在弹出的新建对话框中输入工程名,例如“Myproject”,并选择工程文件路径,例如“E:\Proj_FPGA\Myproject”,如下图所示,以后将以这个工程名和路径说明。
选择好以后,next,需要注意以下几个选项。
Famliy:选择所使用的FPGA类型;Device:选择所使用的FPGA具体型号;Synthesis Toll:选择所使用的综合工具,默认为ISE自带的XST工具;Simulator:选择仿真软件,默认是ISE自带的Isim;Preferred Language:选择所使用的硬件语言,我们选择Verilog;图2.1 新建工程step1 图2.2 新建工程step2后面直接点next即可,直到完成新工程的建立。
3.Verilog HDL代码的输入和功能的仿真在工程管理器任意位置单击鼠标右键,在弹出的菜单中选择“New Source”命令,一般用到的是Verilog Module、IP、、Verilog T est bench选项,分别可以创建verilog模块文件、调用FPGA内部的IP核、创建测试文件。
图3.1 新建源代码对话框在示例中我们选择新建一个Verlig Module模块Proj_top作为顶层文件,直接点击Next,在这一级可以编辑模块的输入输出,也可以不设置,在以后的代码中直接指定,这里为了方便我们不设置输入输出,此后一直next直到完成。
当然,以后可以新建更多模块加入到工程中,方法一样。
图3.2源代码输入创建完成后如图3.2所示,这时,我们已经创建了一个Verilog模块的例子,剩余工作就是在源文件编辑区编写代码以实现设计功能。
以下是一个简单的例子。
例1:利用Verilog代码实现8bit计数器module Proj_top(input clk,input rst,output reg [7:0] dout);always @ (posedge clk)beginif(!rst)//low actdout <= 8'h00;elsedout <= dout + 1'b1;endendmodule输入代码完成后,保存,双击过程管理区中的Synthesize XST,出现对工程进行综合。
综合过程中,如在信息显示区出现error,需要根据提示查看修改代码。
当工程正确无误后,该选项将变为绿色的对号(代码正确)或者黄色的感叹号(含有警告,可以忽略,需要具体分析)。
图3.3 工程的综合图3.4测试文件的创建为了对设计程序做逻辑上的验证,一般,我们可以添加一个测试文件来验证所设计的程序的正确性。
在工程管理区的View这一栏,选择Simulation,并在下面的hierarchy空白处右键NewSource,选择Verilog Test Fixture,其创建方式其实和上面所讲的文件的创建类似。
在此示例中,我们创建一个名为“test”的测试模块。
测试代码如下:module test;// Inputsreg clk;reg rst;// Outputswire [7:0] dout;// Instantiate the Unit Under Test (UUT)Proj_top uut (.clk(clk),.rst(rst),.dout(dout));initial begin// Initialize Inputsclk = 0;rst = 0;// Wait 100 ns for global reset to finish#100;// Add stimulus hererst = 1;//add by yourselfendalways #10 clk = ~clk;//add by yourselfendmodule这时,在工程管理区选中到测试文件名“test”上,在过程管理区中双击Simulate Behavioral Model,如果正确,运行结束将弹出仿真界面Isim。
图3.5综合测试文件图3.6 Isim仿真界面Isim界面大体和ISE相似,下面列举几个常用的工具:图3.6 Isim工具栏从左到右:波形放大、缩小、波形全部显示、将光标移到波形中央、刷新、光标的左移、右移、添加新光标、重新产生测试波形、一直运行、按设定的时间步进运行。
在这里可以观察波形是否和设计一致。
至此,一个最简单的ISE工程从设计到逻辑验证完成。
4.综合与实现一个完整的FPGA工程,需要进行综合、实现2个过程。
综合(Synthesize):将HDL语言、原理图等设计输入翻译成由与、或、非门和RAM、触发器等基本逻辑单元连接(网表),并根据目标和要求(约束条件)优化所生成的逻辑连接,生成NGC、NCR以及LOG文件。
综合之后可双击View RTL Schematic来查看门级电路;实现(Implement):将综合输出的逻辑网表翻译为所选器件的底层模块与硬件源语,将涉及映射到器件结构上,进行布局布线,以达到在选定的器件上实现设计的目的。
主要分为3步:翻译(Translate)逻辑网表、映射(Map)到器件单元、布局布线(Place&Route);图4.1 FPGA的综合和实现5.FPGA文件的下载在安装目录寻找打开impact,在左侧impact flow窗口中双击,然后在右面空白区域右键单击ADD Xilinx Device,选择所需加载的.bit文件,然后右侧窗口出现FPGA的绿色符号,在符号上右键单击Program,等待下载完毕。
图5.1 FPGA文件下载step1 图5.2 FPGA文件下载step2 6.添加chipscopeFPGA在线调试一般要需要用到chipscope(逻辑分析仪),这样可以实时抓取我们感兴趣的信号状态,用来分析工程的正确性。
添加方式和新建Verilog文件一样,选择Chipscope Definition and Connection File。
这里,创建了一个名为test的chipscope。
图6.1 新建chipscope新建完成后,在工程管理区双击test.cdc,弹出如下界面:图6.2 chipscope配置step1Next,设置来设定所抓取的数据的个数,Next,设置数据存储深度,默认为1k,注意勾选,next,点击Modefy Connection,图6.3 chipscope配置step2图6.4 chipscope配置step3左上区域可以选择具体模块,左下框图中的Pattern选项中可搜索信号名,格式为“*信号名*”(引号内部),在右面的区域可以添加触发信号和所需抓取的数据。
设置完毕ok,点击。
添加完成后,在ISE界面的过程管理区双击Generate Programming File,完成工程得综合、翻译。
布局布线、映射和产生输出文件。
正确以后,双击Analyaze Design Using ChipScope,打开逻辑分析仪调试程序。
图6.5 编译并打开chipscope调试在连接JTAG Chain之前,首先必须确认FPGA仿真器连接到目标板上,目标板上电且仿真器连接正确以后,仿真器指示灯显示为绿色。
确认以后,点击图标,连接仿真器。
成功后,选中DEV:0MyDevice0,右键单击configure,勾选Clean previous project setting,OK。
图6.6 ChipScope Pro Analyzer界面图6.7 Configure图6.8 配置好的ChipScope Pro Analyzer配置好ChipScope Pro Analyzer,需要特别关注Trigger Setup和Waveform这2个窗口,可以在Trigger Setup中设置触发条件,在Waveform中查看触发后的波形;工具栏中需特别关注:左起分别为触发(必需等待设置的触发状态到来)、停止触发、马上触发(不等待设置的触发状态是否到来,在当前状态立即触发);利用这几个最常用的工具和其他一些工具可以完成带电调试FPGA,和DSP配合使用调试目标板。