简易交通灯控制器的设计报告

合集下载

简易交通信号灯控制器课程设计报告书

简易交通信号灯控制器课程设计报告书

《电工与电子技术基础》课程设计报告题目简易交通信号灯控制器学院(部)汽车学院专业车辆工程班级学生学号6 月 29 日至7 月 3 日共一周目录一、主要技术指标和要求 (2)二、摘要 (2)三、总体设计方案论证及选择 (2)四、设计方案的原理框图、总体电路原理图及说明1、设计方案的原理框图 (3)2、总体电路原理图及说明 (4)五、单元电路设计、主要元器件选择与电路参数计算1、CP脉冲发生器电路 (5)2、主控电路模板 (7)3、组合逻辑电路模块 (8)4、负载电路 (11)六、收获与体会,存在的问题 (12)七、参考文献 (13)八、附件(元件材料清单、原理电路图或其他说明) (14)一、主要技术指标和要求(1)定周控制:主干道绿灯亮45秒,只感支干道绿灯亮25秒;(2)每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡;(3)分别用红、黄、绿色放光二极管表示信号灯;(4)设计计时显示电路。

二、摘要在现代城市中,红绿交通信号灯成为交管部门管理交通的重要工具之一。

目前的交通信号灯电路大多分为主干道电路和支干道电路,通过适当的控制电路分别对主干道和支干道进行控制,达到合理的亮灭规律,从而很好的规人们的出行秩序。

本文设计的简易交通信号灯控制器方案分四大模块:1,脉冲信号发生模块。

采用555秒脉冲发生器提供脉冲信号;2,主控制器模块。

采用74LS161型4位同步二进制计数器加上清零电路;3,组合逻辑电路模块。

利用74LS161的四个输出端和门电路构成组合逻辑电路来输出相应的高电平或低电平;4,负载。

通过这四个模块来实现对交通信号灯的控制。

三、总体设计方案论证及选择方案一:用多个不同步的信号分别控制各信号灯的开关,即分别用持续45S、5S、25S、5S的倒计时计数器来控制各信号灯。

方案二:交通信号灯的状态可以分为四种,且四种状态的周期和为T=45+5+25+5=80S,所以信号灯的每个循环周期为80S,因此,可以利用两个74LS290型十进制计数器组成一个八十进制的计数器的周期为80*1S=80S。

交通信号灯控制器课程设计报告

交通信号灯控制器课程设计报告

交通信号灯控制器课程设计报交通信号灯控制器课程报告一.设计要求1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行, 绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、主、支干道交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25 秒计时、显示电路。

3、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡。

提示:选择1HZ时钟脉冲作为系统时钟。

45秒、25秒、5秒定时信号用倒计时,计控制。

根据交通灯的亮的规则,在初始状态下四个方向的都为红灯亮启,进入正常工作状态后,当主干道上绿灯亮时,支干道上红灯亮,持续45S后,主干道和支干道上的黄灯都亮启,持续5S后,主干道上红灯亮启,支干道上绿灯亮启持续25S,之后主干道和支干道上的黄灯都亮启5s, 一个循环完成。

循环往复的执行这个过程。

设计中用两组红黄绿LED模拟两个方向上的交通灯,用4个7段数码管分别显示两个方向上的交通灯剩余时间,控制时钟由试验箱上频率信号1、2、时起始信号由主控电路给出,每当计满所需时间,计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。

二.设计思路本设计针对一条主干道和一条支干道汇合成的十字路口,进行南北和东西直行情况下交通灯提供。

根据状态机的设计规范,本次设计了四个状态之间的循环转化,其真值表及状态转化图如下所示三.程序说明1 •各输入输出变量说明:elk:计数时钟qclk:扫描显示时钟rst:复位信号,当rst为1时,控制器和计数器回到初始状态en:使能信号,当en为1时控制器开始工作,en为0时hold:特殊情况控制信号,hold为1时,主、支干道方向无条件显示为红灯seg:用于数码管的译码输出dig:用于选择显示的数码管(片选)numl:用于主干道方向灯的时间显示num2:用于支干道方向灯的时间显示light 1:控制主干道方向四盏灯的亮灭,其中,lightl[O卜lightl[2]分别控制主干道方向的绿灯、黄灯和红灯Hght2;控制支干道方向四盏灯的亮灭,其中,Hght2[0]-light2[2]分别控制支干道方向的绿灯、黄灯和红灯2 •输入输出及中间变量设置:module traffic(en,clk9qclk,rst,hold,numl,num2Jightl Jig ht2,seg,dig;input en,clk,qclk,rst9hold;output [5:0]dig;output[7:0] numl9num2;output[6:0]seg;output[2:0]lightl,light2;reg timl,tim2;reg [3:0]disp_dat;reg[6:0]seg;reg[7:0]numl,num2;reg [7:0] red 1 ,red2,green 1 ,green2,y ello wl ,y ello w2 reg[5:0]dig;reg [l:0]count;reg [ 1: OJstate 1 ,state2;reg [2: OJlight 1 Jight2;always @(en)if(!en) begingreenl<=8*b01000101;redl<=8fb00100101; yellow 1 <=8' bOOOOO 101; green2<=8*b00100101; red2<=8f b01000101; yellow2<=8,b00000101; end4 •主干道方向点亮顺序:always @ (posedge elk) //主干道 begin if(rst) beginlightl<=3f b001; numl<=greenl; end else if(hold) begin3 •初始状态设flightl<=3fbl00; numl<=greenl; end else if(en)begin if(!timl) begin case(statel)2fb00:begin numl<=greenl; statel<=2f b01; end2f b01: begin num 1 <=yellowl; statel<=2f bll; end 2'bll:b£gin numl<=redl; statel<=2f bl0; end2' b 10: begin num 1 <=yellow 1;statel<=2f b00; end default:lightl<=3,bl00; endcase endelse 〃主干道倒数计时 begin if(numl>0)if(numl[3:0]==0) begin numl[3:0]<=4,bl001; numl[7:4]<=numl[7:4]-l;lightl<=3,b001; lightlv=3'b010; lightl<=3f bl00;lightl<=3f b0X0;endelse numl[3:0]<=numl[3:0]-l;if(numl==l)timl<=0;endendelsebegin lightl<=3,b010; numl=2T b00; timl<=0; endend5 •支干道方向点亮顺序:always @ (posedge elk) //支干道beginif(rst)beginlight2v=3'bl00;num2<=red2;endelse if(hold)beginlight2v=3'bl00; num2<=red2; endelse if(en)beginif(!tim2)begintim2<=l;case(statel)2!b00:begin num2<=red2; state2<=2 f b01; end 2f b01: beginnum2<=yellow2; state2<=2f bll; end 2f bll: begin num2<=green2;state2<=2 *blO; end2' b 10: begin num2<=yellow2; state2<=2' bOO; end light2<=3f bl00; light2<=3,b010; light2<=3f b001; light2<=3f b010;default:light2<=3,bl00;endcaseendelse 〃支干道倒数计时beginif(num2>0)if(num2 [3:0]==0)beginnum2[3:0]<=4,bl001;num2 [7:4] <=num2 [7:4]-l; end else num2[3:0]<=num2[3:0] -1; if(num2==l)tim2<=0;end endelsebeginlight2<=3f b010; state2v=2'b00; tim2<=0;endend6 •数码管译码及显示:always @(posedge qclk) 〃定义上升沿触发进程begincount <= count +l T bl;end always @ (count) begincase(count)〃选择扫描显示数据2'dO : disp_dat <= numl[3:0]; 〃第一个数码管2'dl : disp_dat <= numl[7:4]; 〃第二个数码管2'd2 : disp_dat <= num2[3:0]; 〃第三个数码管2'd3 : disp_dat <= num2[7:4]; 〃第四default: disp_dat <= 0; endcaseendalways @ (count) begin case(count)数码管显示位2f d0 : dig<= 6P011111;//选择第一个数码 管显示 2f dl : dig<= 6P101111;//选择第二个数码 管显示 2P2 : dig <= 6P110111;//选择第三个数码 管显示2P3 : dig<= 6P111011;//选择第四个数码管显示default: dig<= 6^111111;endcase endalw 町s @ (disp_dat) begincase (disp_dat)〃七段译码个数码管〃选择4f b0000 : seg<= 7^0111111;〃显示” (T4'b0001 : seg <= 7^0000110; //显示T”4'b0010 : seg<= 7^1011011;〃显示”2”4f b0011 : seg<= 7^1001111;〃显示'3'4'b0100 : seg <=7^1100110; 〃显示”4”4^0101 : seg<= 7^1101101;〃显示”5”4^0110 : seg<= 7^1111101;〃显示”6”4'b0111 : seg<= 7^0000111;〃显示”7”4'bl000 : seg <= 7^1111111;4'bl001 seg <=g 曲*CW ulaion Kg LeC«l Hoti<Sxwiai Jlc XU*Sirrdat^rSatlioxiO Situldli (Brer w 釘| ◎ Ccrrc45boftRew!•... | 色 Ek.w* >•・ V«vef«r»sS>«ol*l i ・e ・od« TiaincI E *:.w7^1101111; // 显示”9”default:7P0111111;//不显示endcaseend endmodule三.仿真波形图IT - D;/t fic2/traffic - traffic 一 (Siaiolat ion Report - Sivulst ion曹 Z>Lo RdiQ vier "ojce, £s5i«rr-an« I«ol5 J>r 如生”seg<=1041kYiooiLin 1】10】1】 1】IO 】LomulOllll J10H1COO(01ICC010D 」I1UI0JumocjijuuvwuuuumifinwiRnjuinmfuuuiiifinnwuuuinjinmnjuu ififimuuuuuinnnjvuuuiJiG BOil Mil=3to 订“co 贩no»3 nca2 QClk r»t rst]38 <j>?TMoslcs Trr^Bar271邛 StatIcteivd 1205 m272MQ licl.il Q ltxhiz9:fl四.实物图。

交通灯控制电路的设计(实验报告)

交通灯控制电路的设计(实验报告)

交通信号灯控制电路的设计一、设计任务与要求1、任务用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。

2、要求画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图。

对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。

二、设计原理和系统框图(一)设计原理1、分析系统的逻辑功能,画出其框图交通信号灯控制系统的原理框图如图2所示。

它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。

秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1 交通灯控制电路设计框图图中:Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔。

定时时间到,Tl=1,否则,Tl=0.Ty:表示黄灯亮的时间间隔为5s。

定时时间到,Ty=1,否则,Ty=0。

St:表示定时器到了规定的时间后,由控制器发出状态转换信号。

它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。

2、画出交通信号灯控制器ASM图(1)甲车道绿灯亮,乙车道红灯亮。

表示甲车道上的车辆允许通行,乙车道禁止通行。

绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。

(2)乙车道黄灯亮乙车道红灯亮。

表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。

黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态。

(3)甲车道红灯亮乙车道绿灯亮。

表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时 控制器发出状态转换信号ST转到下一工作状态。

EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。

(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。

(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。

(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。

二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。

频率设定CLK1k对应的频率为50MHZ。

2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。

3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。

4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。

三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。

四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。

简易交通灯控制课程设计报告书

简易交通灯控制课程设计报告书

轻工业学院电子技术课程设计题目:简易交通灯控制电路学生:马杰专业班级:电气工程14-02班学号: 541401020228院(系):电气信息工程学院指导教师:黄春完成时间: 2016年12月4日轻工业学院课程设计(论文)任务书题目简易交通灯控制电路专业电气工程14-02学号 541401020228 马杰主要容、基本要求、主要参考资料等:主要容1.阅读相关科技文献。

2.学习protel软件的使用。

3.学会整理和总结设计文档报告。

4.学习如何查找器件手册及相关参数。

技术要求1.要求控制一个十字路口的交通灯。

2.设定南北,东西向交通灯显示时间一样。

3.设定红灯绿灯均显示30秒,红灯绿灯切换时黄灯显示2秒。

4.要求红黄绿灯用发光二极管表示,并且显示出时间。

主要参考资料1.何小艇,电子系统设计,大学,2001年6月2.福安,电子电路设计与实践,科学技术,2001年10月3.王澄非,电路与数字逻辑设计实践,东南大学,1999年10月4.银华,电子线路设计指导,北京航空航天大学,2005年6月5.康华光,电子技术基础,高教,2003完成期限: 2016年12月04日指导教师签章:专业负责人签章:2016年11月28日轻工业学院简易交通灯控制电路摘要本论文主要阐述了交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。

随着中国加入WTO,我们不但要在经济、文化、科技等各方面与国际接轨,在交通控制方面也应与国际接轨。

俗话说“要想富,先修路”,但路修好了如果在交通控制方面做不好道路还是无法保障畅通安全。

作为交通控制的重要组成部份的交通信号灯也应国际化。

随着社会经济的发展,城市交通问题越来越引起人们的关注。

人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。

所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。

简易交通灯报告(附源程序——汇编语言)

简易交通灯报告(附源程序——汇编语言)

题目:简易交通灯一、设计目的利用TDN微机原理试验箱及单元电路,实现对一道路交通灯的控制。

当通过车辆计数达到50时,绿灯灭,红灯亮,同时数码块倒计时若干秒(此次设计中设为9秒)。

此时,车辆停止,行人可以通过。

二、参加人员及分工李——试验报告霍——调试程序洪——编写程序三、技术要求1、设计完成交通灯的电路2、采用TDN试验箱上的8255、8259、8253完成3、程序设计中采用软件延时四、试验器材TDN-MD86/51实验箱一台,8253一片,8255一片,8259一片,数码管一组,发光二极管一组。

五、设计和调试环境TDN-MD86/51教学试验系统六、题目分析、工作原理、设计思想题目分析我们所做的交通灯是控制单路口处车辆和行人的通过及停止的简易交通灯。

因此需要两个灯和一块用于显示倒计时的数码块来控制车辆和行人的通行、停留问题。

其中两个灯分为红绿色,主要根据两只灯的亮灭情况来控制车辆,当红灯灭绿灯亮的时候车辆可以通过,而红灯亮绿灯灭时车辆就要停止通行。

数码块用于显示倒计时的时间以控制人,当倒计时开始时人通行,倒计时结束后行人就要等待。

根据题目分析得出以下设计思想及工作原理:设计思想在一开始启动交通灯时设置为红灯灭绿灯亮,让车辆先通行,行人等待,当通过50辆车后,红绿灯都同时灭然后红灯亮绿灯灭且数码快开始倒计时,此时为行人通行时间。

然后当数码块倒计时到0时行人通行的时间结束。

转而红灯灭绿灯亮又改为车辆通行时间。

红绿灯和数码块如此反复工作就构成的交通灯。

工作原理通过向8259写入程序来控制其他器件的工作。

在程序一开始写入中断向量和各芯片的控制方式字,以确保发生中断是正确的响应中断及芯片正确的工作状态。

接下来的程序就是控制8255和8253,8255选择工作方式1,A、B、C、口都做基本的输入输出。

通过8255A 口先让红灯灭绿灯亮。

8253选择工作方式2:计数停止中断,通过8253对车辆的计数达到50辆车是就发出中断请求。

一个十字路口的交通灯控制系统设计报告

一个十字路口的交通灯控制系统设计报告

一个十字路口的交通灯控制系统设计报告设计报告
一、设计目的
设计每个方向的交通灯控制系统,以解决车辆拥堵的问题,并尽可能
减少事故的发生。

二、原理和要求
1.交通灯控制系统的目标是调整车辆的流量,从而避免拥堵和事故
的发生。

2.根据路口的布局,设计一个控制系统,使各方向的车辆可以有序
通过路口。

3.控制系统需要包括时间策略、车辆流量控制以及实时变更等组件。

4.控制系统的运行稳定性,准确性,可靠性等特性也是需要考虑的。

三、相关技术
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
对灯光变化的时间策略进行设计。

2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置数据,进行实时的车辆流量控制。

3.实时变更:采用实时数据采集技术,监视路口的变化,对路口的
灯光策略进行实时变更,以保证路口的安全性和流量的正常状态。

四、系统设计
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
设计灯光变化的时间策略,实现路口灯的有序变化,调控车辆的通行流量。

2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置信息。

交通灯控制器设计报告

交通灯控制器设计报告

(封面)XXXXXXX学院交通灯控制器设计报告题目:院(系):专业班级:学生姓名:指导老师:时间:年月日设计内容及要求(题目)任务1:交通灯控制器的设计设计内容与要求①设计一个十字路口交通信号灯的控制电路。

要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,且将运行时间用数码管显示出来。

②绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。

要求主干道每次通行时间为Tx秒,支干道每次通行时间为Ty秒。

每次变换运行车道前绿灯闪烁,持续时间为5秒。

即车道要由X转换为Y时,X在通行时间只剩下5秒钟时,绿灯闪烁显示,Y仍为红灯。

③可以对X,Y车道上交通灯运行的时间进行重新设置,20≦Tx≦99 ,10≦Ty≦39④对器件进行在系统编程和实验验证。

⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。

可以看得出其实四个状态可以简化,在后期实施的时候为了简化故将四个状态转换成了两个状态,即为干路和支路的两个不同的状态修改后的状态结构框图如下(未加附加功能):State1:干路绿灯开始常亮,支路红灯开始常亮,等到了干路计时还剩下五秒的时候,干路绿灯交替闪亮,支路红灯不变。

State2: 2.干路转换为红灯常亮,支路变为为绿灯常亮,等到了支路计时还剩下五秒的时候,支路绿灯交替闪亮,干路红灯不变。

在分析系统的组成结构,可以知道系统大概有三个部分组成,其中不难得出,包括:1.显示部分:分为指示灯显示和数码管显示(其中这两者应该是同步的,同一控制器,秒脉冲控制)。

2.计数部分:计数器递减计数要求是以秒脉冲计数,当达到指定时间要有重置部分将时间重置,重新计数。

3.控制部分:提供秒脉冲时钟信号,控制数码管和指示灯的变化以及设置时间等功能。

三部分之间的关系可以表达为:重置计数器重新开始计数控制时间达到预定值经上面分析,大致可以得到整个交通灯的结构框图:系统框图经过分析可知,该设计需要时钟信号,所以需要秒脉冲信号 1.信号发生器要显示亮灯时间需要 2.计数器3.数码显示器对于主路和支路需要显示红绿灯,故需要4.信号显示灯5.状态译码器其中各部分功能如下:交通指示灯:显示主支路通行情况;数码管显示:显示通行剩余时间,从绿灯亮起开始计算,转换灯的颜色后重新计数;状态译码器:经控制器控制,输出交通指示灯和数码管显示当前状态;控制器:控制状态译码器的输出状态和控制递减计数器的计数和重置;重置装置:接收控制器的命令,在相应的时段使递减计数器重置,重新从预设值递减;减法计数器:控制数码显示管的数值计时显示;分频器:将脉冲信号提供的源信号进行分频,得到秒脉冲信号;脉冲源信号:为整个电路提供初始脉冲源信号;设置时间: 由控制器输入设置,改变主支路上的绿灯的时间。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

一、概述
交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超
级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。

而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。

这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。

智能交通灯系统正是解决这一矛盾的途径之一。

对城市交通流进行智能控制,可以使道路畅通,提高交通效率。

合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能
源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。

二、方案论证
设计一个简单的交通灯控制器。

实际上就是四个平时状态加上一个紧急状态。

我们不妨设:
S1:南北方向红灯亮,东西方向绿灯亮,时间15s;
S2:南北方向红灯亮,东西方向黄灯亮,时间3s;
S3:南北方向绿灯亮,东西方向红灯亮,时间l5s;
S4:南北方向黄灯亮,东西方向红灯亮,时间3s;
S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。

图1 主电路状态与指示灯状态转换
S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。

剩余的四个状态我们可以放在一起来综合考虑。

因为四个状态是轮换的。

首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。

其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。

555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。

由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。

再者,用两片十进制可逆计数器来显示黄绿灯亮的秒数。

最后,就可以基本实现红绿灯的简单控制。

图2 红绿灯控制器的基本流程图
三、电路设计
1.脉冲产生电路
脉冲是由555时基电路构成的多谐振荡器产生的。

选取两个固定电阻,计算出电容,使其频率为1Hz,其电路图如下:
图3 脉冲产生电路
其高电平时间为:
s C R R T 812.0)(7.0211=+= 其低电平时间为:
s C R T 203.07.022== 占空比:
%80203
.0812.0812
.0q 2
11=+=
+=
T T T
频率f=1.015Hz (约为1Hz ) 2.倒计时电路
因为是倒计时的15s 和倒计时的3s ,涉及到两位数,所以采用两片十进制可逆计数器芯片。


图3 倒计时电路
我们要完成的是15s 和3s 的倒计时循环,因为是倒计时,所以将脉冲接到down 的一端。

左边的芯片表示的是十位的数字,右边的芯片表示的是各位的数字。

十位之涉及到0和1,所以只要控制A 端的输入为0或1,所以用一个异或门连接译码器的Y0和Y2上,因为这两个输出端控制的是黄灯的状态(具体在译码器部分有解释),剩下的端口全部接地。

各位的数字之涉及到3和5,所以输入端为0101和0011,这两个数的差别就在于中间的两位并且互反,所以将c 端口接到译码器的b 端口即可。

并将两个借位输出连接到一个与门上来控制置数端。

3.计数电路
用计数器来计数四个状态,就是从15s->3s->15s->3s 进行循环,输出为00 01 10 11。

选择74LS160芯片,用的是置数法,实现四进制计数器。

如图:
译码器的译码器的
图4 计数电路
这计数电路就是用来循环记录00 01 10 11 四个基本状态的。

4.状态译码电路
从十进制计数器输出了4个状态,对这四个状态进行译码。

真值表如下: (因为只有四个状态,超出的部分就不写了)
表1 3位二进制译码器真值表(部分)
译码阶段已经完成,接下来就是用这些译码出来的信号。

来控制四个方向红绿灯的状态。

输出为0001,0010,0100,1000的时候分别对应着S3,S1,S2,S4四个状态,并且同方向的一个灯亮起的时候剩余的灯必须是保持暗的状态。

所以用与非门和非门来控制二极管。

首先是黄灯的控制,黄灯出现在S2和S4的状态下,所以将非门连接在Y1,Y3
处。

将绿灯接在Y0,Y2处。

红灯的切换是在黄灯亮之后,所以用与非门来控制。

南北的与非门两端分别接Y2,Y3;东西的与非门两端分别接Y1,Y3。

接下来说明一下为什么要这么接。

就S1状态而言,南北方向红灯亮,东西方向绿灯亮,时间15s ,译码器的输出为0010。

此时东西方向有且仅有绿灯在亮,南北方向有且仅有红灯在亮。

所以东西方向的绿灯要是想亮就得连接Y1端。

同理,分析四种状态分别得出南北的黄灯连接Y0,南北的绿灯连接Y3,东西的黄灯连接Y2。

之后分析如何让红灯亮。

红灯的亮暗是在黄灯的亮暗之后切换的,所以用一个与非门。

先用南北方向上的红灯来分析,南北的红灯时在S1和S2两个状态都亮的,其输出为0010和0100,所以与非门的两端接的是Y1与Y2。

同理东西方向的红灯连接的是Y0与Y3。


图5 状态译码电路
5.紧急控制开关电路
开关没开启之前要保持之前的状态,开关开启之后,四个红灯全部亮起,并且计数器归零。

首先,让四个红灯全部亮起。

在对红灯输入信号之前接出一个或门,其中的一端接原来的信号,另一端接0,这样保证了原有信号的输出。

开关闭合后,让原本接0信号的那一段改变为1信号,就强制使红灯的输出变成了1,实现了功能。

其次,让计数器归0。

开关没有动作之前,让十进制可逆计数器的清零端接0信号,开关动作后,让清零端接1信号,实现了清零的功能。

如图:
图6 紧急开关控制
四、性能测试
1. 脉冲产生电路的测试
为了在仿真中快速的看到结果,故将电容缩小了100倍,仿真如下图,脉冲周期约为10ms ,扩大100倍即为1s ,频率为1Hz 。

Key = Space
0的清零端
或门
图7 脉冲产生电路仿真测试图2.倒计时电路的测试
检验倒计时计数器是否可以从15s和3s开始倒计时。

图8 十进制可逆计数器15s检测图
图9 十进制可逆计数器3s检测图
3.电路整体性能测试
总电路见附录I。

(由于十进制计数器计数4种状态,3—8线译码器,紧急控制开关无法单独显示测试结果所以放在电路的整体性能的测试上)
S1状态:南北方向红灯亮,东西方向绿灯亮,时间15s;
图10 S1状态检测图
S2:南北方向红灯亮,东西方向黄灯亮,时间3s;
图11 S2状态检测图S3:南北方向绿灯亮,东西方向红灯亮,时间l5s;
图12 S3状态检测图
S4:南北方向黄灯亮,东西方向红灯亮,时间3s
图13 S4状态检测图S5(紧急状态):如果发生紧急事件,可手动控制四个方向红灯全亮
图14 紧急状态检测图
五、结论
经过两周的努力终于完成了关于交通灯控制电路的电子课程设计,通过不断的查资料让我积累了许多实际操作经验,已初步掌握了数电的应用技术。

我深刻体会到数字电子技术对当今现代社会的重要作用。

经过这次设计,我学会了怎样把计划付诸于实际行动中。

同时与社会的不断高速发展的步伐相比,我认识到自己所学的知识和技能还远远不足,缺乏应有的动手解决实际问题的能力,有待在今后的学习实践中进一步提高。

经过这次课程设计,我更加认识到要学好自己的专业知识以适应不断发展的社会。

参考文献
[1] 阎石主编.,数字电子技术. [M]北京:高等教育出版社,2006年
[2] 陈振官等编著.,新颖高效声光报警器. [M]北京:国防工业出版社,2005年
[3] 彭介华,电子技术课程设计指导[M].北京:高等教育出版社
[4] 孙梅生,李美莺,徐振英. 电子技术基础课程设计[M]. 北京:高等教育出版社
[5] 梁宗善.,电子技术基础课程设计[M]. 武汉:华中理工大学出版社
[6] 张玉璞,李庆常. 电子技术课程设计[M]. 北京:北京理工大学出版社
[7] 谢自美,电子线路设计·实验·测试(第二版)[M].武汉:华中科技大学出版社
附录I 总电路图
11
附录II 元器件清单
12。

相关文档
最新文档