数字钟时钟电路图

数字钟时钟电路图
数字钟时钟电路图

目录

前言:....................................................................... 错误!未定义书签。

1.设计目的 (3)

2.设计功能要求 (3)

3.电路设计11111111111111111111111111111111111111111111 (3)

3.1设计方案 (3)

3.2单元电路的设计 (4)

3.2.1主体电路部分 (4)

3.2.1.1振荡电路 (5)

3.2.1.2 计数电路 (9)

3.2.1.3 校时电路 (14)

3.2.1.4译码与显示电路 (16)

3.2.2扩展功功能电路的设计 (18)

3.2.2.1定时控制电路 (18)

3.2.2.2 仿广播电台正点报时电路 (20)

3.2.2.3自动报整点时数电路 (21)

3.2.2.4触摸报整点时数电路 (22)

4.调试 (23)

4.1主体电路部分 (23)

4.2 扩展电路部分 (25)

5.总结 (27)

致谢 (28)

参考文献 (29)

附录 (30)

1.设计目的

设计一种多功能数字钟,该数字钟具有基本功能和扩展功能两部分。其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能。扩展功能部分则具有:定时控制、仿广播电台正点报时、自动报整点时数和触摸报正点的功能。数字钟的电路也是由主体电路和扩展电路两部分构成,在电路中,基本功能部分由主体电路实现,而扩展功能部电路实现。这两部分都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两位显示,扩展部分要有相应的响应电路。分则由扩展

2.设计功能要求

基本功能:

(1)时的计时要求为“12翻1”,分和秒的计时要求为60进制

(2)准确计时,以数字形式显示时,分,秒的时间

(3)校正时间

扩展功能:

(1)定时控制;

(2)仿广播电台报时功能;

(3)自动报整点时数;

(4)触摸报整点时数;

3.电路设计

3.1设计方案

根据设计要求首先建立了一个多功能数字钟电路系统的组成框图,框图如图1所示。

主体电路

扩展电路 图1

由图1可知,电路的工作原理是:多功能数字钟电路由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。

振荡器产生的高脉冲信号作为数字钟的振源,再经分频器输出标准秒脉冲。秒计数器计满60后向分计数器个位进位,分计数器计满60后向小时计数器个位进位并且小时计数器按照“12翻1”的规律计数。计数器的输出经译码器送显示器。计时出现误差时电路进行校时、校分、校秒。扩展电路必须在主体电路正常运行的情况下才能进行扩展功能。

3.2单元电路的设计

数字电子钟的设计方法很多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等。

在本次设计,电路是由许多单元电路组成的,因此首先必须对各个单元电路进行设计。

3.2.1 主体电路部分

主体电路部分的电路主要由振荡电路、计数电路、显示电路以及校时电路四大部分组成。下面将对各部分电路进行设计。 时显示器 分显示器 秒显示器

时译码器 分译码器 秒译码器

时计数器 分计数器 秒计数器 校时电路 振荡器 分频器 整点报时

触摸报时

仿电台报

定时控制

3.2.1.1振荡电路

振荡电路由振荡器和分频器产生 1Hz时钟脉冲和扩展部分所需的频率,下面对振荡器和分频器两部分进行介绍。

(1)振荡器

数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,一般来说,振荡器的频率越高,计时精度越高。它利用某种反馈方式产生时钟信号。对数字电路来说,振荡器的输出的幅度范围为0v—5v的方波信号而不是锯齿波、三角波或其他形式。典型的振荡器是弛豫振荡器,它通过一个RC网络将反相器的输出反馈回来并存在一定的工作延迟时间。基本的电路如图2所示。

12

A

740412

A

7404

R2

R1

C 图2

在上述电路中,RI-C网络由第一个反相器驱动,具有RC特性曲线的响应信号被反馈给反相器的输入。当电容上的电压达到施密特触发器输入反相器的门限电压的时候,反相器的状态发生改变,并输出一个新的电压值。这个输出电压经过一定的延迟时间再次通过RI—C反馈回来,直到电容电压再次达到门限电压为止。

用施密特触发器输入器件(如74HC04),但是由于电容的参考电压在每个临界点都要发生变化,所以施密特触发器不是必需的。由于电容与输出相连,每次状态改变时,电容的充电电压会超过5V。从这一点来说,输出电压会改变电容的充电电压,直到电容两端的电压变为74HC04的门限电压(2.5V)为止。振荡器输出状态的改变发生在电容上的电压达到2.5V时。

弛豫振荡器对许多低成本而精度要求又不高的场所非常适合,但是并不推荐在任何有精度要求的实际应用电路采用它。

如果想要获得高的精度,就应该在振荡电路中使用石英晶体作振源。在数字钟的设计与制作中应采用石英晶体振荡器,因为石英晶体具有压电效应,是一个压电器件。当交流电压加在晶体两端,晶体先随电压变化产生对应的变化,然后机械振动又使晶体表面产生交变电荷。当晶体几何尺寸和结构一定时,它本生有一个固定的机械频率。当外加交流电压的频率等于晶体的固有频率时,晶体片的机械振动最大,晶体表面电荷量最多,外电路的交流电流最强,于是产生振荡,因此将石英晶体按一定方位切割成片,两边傅以电极,焊上引线,再用金属或玻璃外壳封装即构成石英晶体。石英晶体的固有频率十分稳定。另外石英晶体的振动具有多谐性,除了基频振动外,还有奇次谐次泛音振动,对于石英晶体,既可利用基频振动,也可利用泛音振动。前者称为基频晶体,后者称为泛音晶体,晶片厚度与振动频率成反比,工作频率越高,要求晶片厚度越薄。将石英晶体作为高Q 值谐振回路元件接入反馈电路中,就组成了晶体振荡器。在设计中所用的振荡器的电路图如图3所示。该电路能产生1MHz 的方波脉冲振荡信号。

1

2

A 7404

1

2

A 7404

1

2

A 7404

1K

0.01uF 5-25pF

1MHZ

图3

(2)分频器

分频器的作用是将由石英晶体产生的高频信号分频成基时钟脉冲信号和扩展部分所需的频率。在此电路中,分频器的功能主要有两个:一是产生标准脉冲信号;二是功能扩展电路所需的信号,如仿电台用的1KHz 的高频信号和500Hz 的低频信号等.在此电路中作为分频器的元件

是:CD4518。

CD4518可以组成二分频电路和十分频电路。用CD4518组成二分频的电路如图4;用CD4518组成十分频的电路如图5;在本次设计中所用的分频器的电路图如图6。电路经过十分频后将晶振来的1MHz 的振荡脉冲变为1Hz 的脉冲信号,该信号作为计数器的计数脉冲使用。

输入

输 出 输入 输入 输 出

清零

图4 图5

CK 1EN 2CLR 7

Q03Q14Q25Q3

6A 4518

CK 1EN 2CLR 7

Q03Q14Q25Q3

6

A 4518

CK 1EN 2CLR 7

Q03Q14Q25Q3

6A 4518

CK 1EN 2CLR 7

Q03Q14Q25

Q3

6A 4518

CK 1EN 2CLR 7

Q03Q14Q25Q36A 4518

CK 1EN 2CLR 7

Q03Q14Q25Q3

6A 4518

100KHZ

10KHZ

1KHZ

100HZ

10HZ

1HZ

1MHZ

图6

4

Q 1Q Cr CP EN 4Q Cr CP

上表:CD4518的功能表

振荡器和分频器两部分构成振荡电路,它的电路图如图7所示。

根据图7可知电路的工作原理是:石英晶体振荡器提供的频率为1MHz ,CD4518组成十分频电路。并且一个 CD4518可以组成两个十分频电路即:CD4518的引脚2与引脚6组成一个十分频电路而引脚10与引脚14组成另一个十分频电路。晶振的输出接入第一块CD4518的输入引脚2,经过一次十分频,频率变为100KHz 。输出引脚6接入同一块CD4518的引脚10经第二次分频,频率变为10KHz 。输出引脚接人第二块CD4518的输入引脚2再经一次分频,频率变为1KHz 。这样经过六次分频最后可以得到1Hz 的频率。

输入 输出 CK CR EN 上升沿

L H 加计数 L L 上升沿 加计数

下降沿 L X 保

持 X L 上升沿 上升沿 L L H L 下降沿 X L

X

全为L

1

2

A 7404

1

2

A 7404

1

2

A 7404

1K

0.01u F

5-25p F

1M H Z CK 1EN 2CL R 7

Q 03Q 14Q 25Q 3

6

A 4518

CK 1EN 2CL R 7

Q 03Q 14Q 25Q 3

6

A 4518

CK 1EN 2CL R 7

Q 03Q 14Q 25Q 3

6A 4518

CK 1EN 2CL R 7

Q 03Q 14Q 25Q 3

6

A 4518

CK 1EN 2CL R 7

Q 03Q 14Q 25Q 3

6A 4518

CK 1EN 2CL R 7

Q 03Q 14Q 25Q 3

6

A 4518

100K H Z

10K H Z

1K H Z

100H Z

10H Z

1H Z

图7

3.2.1.2 计数电路

计数器是一种计算输入脉冲的时序逻辑网络,被计数的输入信号就是时序网络的时钟脉冲,它不仅可以计数而且还可以用来完成其他特定的逻辑功能,如测量、定时控制、数字运算等等。

数字钟的计数电路是用两个六十进制计数电路和“12翻1”计数电路实现的。数字钟的计数电路的设计可以用反馈清零法。当计数器正常计数时,反馈门不起作用,只有当进位脉冲到来时,反馈信号将计数电路清零,实现相应模的循环计数。以六十进制为例,当计数器从00,01,02,……,59计数时,反馈门不起作用,只有当第60个秒脉冲到来时,反馈信号随即将计数电路清零,实现模为60的循环计数。

下面将分别介绍60进制计数器和“12翻1”小时计数器。 (一)60进制计数器 电路如图8所示

R 0(1)

6R 0(2)

7

C K A 14

Q A 12C K B 1

Q B 11

Q C 9

Q D

8

74L S 92_2

R 0(1)2R 0(2)3R 9(1)6R 9(2)

7

C K A 14

Q A 12

C K B 1

Q B 9

Q C 8Q D

11

74L S 90_5

G ND

G ND +5V

+5V

图8

电路中,74LS92作为十位计数器,在电路中采用六进制计数;74LS90作为个位计数器在电路中采用十进制计数。当74LS90的14脚接振荡电路的输出脉冲1Hz 时74LS90开始工作,它计时到10时向十位计数器74LS92进位。下面对电路中所用的主要元件及功能介绍。 ① 十进制计数器 74LS90

74LS90是二—五—十进制计数器,它有两个时钟输入端CKA 和CKB 。其中,CKA 和0Q 组成一位二进制计数器;CKB 和321Q Q Q 组成五进制计数器;若将0Q 与CKB 相连接,时钟脉冲从A CP 输入,则构成了8421BCD 码十进制计数器。74LS90有两个清零端R0(1)、R0(2),两个置9端R9(1)和R9(2),其BCD 码十进制计数时序如表1,二—五混合进制计数时序如表2,74LS90的管脚图如图9。

图9

表1 BCD 码十进制计数时序 表2 二—五混合进制计数时序

R0(1) 2

R0(2)

3 R9(1) 6 R9(2) 7 CKA 1

4 QA 12 CKB

1

QB

9 QC

8 QD 11

74LS90

② 异步计数器74LS92

所谓异步计数器是指计数器内各触发器的时钟信号不是来自于同一外接输入时钟信号,因而触发器不是同时翻转。这种计数器的计数速度慢。一异步计数器 74LS92是 二—六—十二进制计数器,即CKA 和0Q 组成二进制计数器,CKB 和321Q Q Q 在74LS92中为六进制计数器。当CKB 和0Q 相连,时钟脉冲从CKA 输入,74LS92构成十六进制计数器。74LS92的管脚图如图10。

图10

(二) “12翻1”小时计数器电路 (1) 电路如图11 所 示

CK D Q C Q B Q A Q

0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0 7 0 1 1 1 8 1 0 0 0 9

1

1

CK A Q B Q C Q D Q

0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 1 0 0 0 6 1 0 0 1 7 1 0 1 0 8

1

1

1

9

1

1

R0(1) 6

R0(2) 7 CKA 14 QA 12 CKB

1

QB

11 QC

9 QD 8

74LS92

图11

“12翻1”小时计数器是按照“01—02—03—04—05—06—07—08—09—10—11—12—01”规律计数的,计数器的计数状态转换表如表3所示。

表3“12翻1”小时计时时序

十位个位十位个位CK Q10 Q03 Q02 Q01 Q00 CK Q10 Q03 Q02 Q01 Q00

0 1 2 3 4 5 6 7 0

0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

8

9

10

11

12

13

1

1

1

1 0 0 0

1 0 0 1

1 0 1 0

0 0 0 0

0 0 0 1

0 0 1 0

0 0 0 1

CLK

3

D

2

SD

4

CD1

Q

5

Q

6

74LS74A

P

15

P

1

1

P

2

10

P

3

9

Q

3

Q

1

2

Q

2

6

Q

3

7

R

C

1

3

T

C

1

2

C

L

K

14

C

E

4

U

/

D

5

P

L

11

74LS

4

5

6

U9B74LS00

12

3

U9A

74LS00

11

1213

U10D74LS00

GND

R1

3.3K

+5V

8

9

U8D

74LS04 +5v

CP

(二)电路的工作原理

由表可知:个位计数器由4位二进制同步可逆计数器 74LS191构成,十位计数器由双D 触发器74LS74构成 ,将它们组成 “12翻1”小时计数器。

由表可知:计数器的状态要发生 两次跳跃:一是:计数器计到9,即个位计数器的状态为03020100Q Q Q Q =1001后,在下一计数脉冲的作用下计数器进入暂态1010,利用暂态的两个1即0301Q Q 使个位异步置0,同时向十位计数器进位使10Q =1;二是计数到12后,在第13个计数脉冲作用下个位计数器的状态应为03020100Q Q Q Q =0001,十位计数器的10Q =0。第二次跳跃的十位清“0”和个位置“1”的输出端10Q 、01Q 、00Q 来产生。对电路中所用的主要元件及功能介绍。 ① D 触发器74LS74

在电路中用到了D 触发器74LS74,74LS74的管脚图如图12。

图12

下面将介绍一些有关触发器的内容:

触发器,它是由门电路构成的逻辑电路,它的输出具有两个稳定的物理状态(高电平和低电平),所以它能记忆一位二进制代码。触发器是存放在二进制信息的最基本的单元。按其功能可为基本RS 触发器触、JK 触发器、D 触发器和T 触发器。

这几种触发器都有集成电路产品。其中应用最广泛的当数JK 触发器和D 触发器。不过,深刻理解RS 触发器对全面掌握触发器的工作方式或动作特点是至关重要的。事实上,JK 触发器和D 触发器是RS 触发器的

D 2 Q 5

Q

6

CLK 3

4

1

PRE

CLR A

74LS74

改进型,其中JK 触发器保留了两个数据输入端,而D 触发器只保留了一个数据输入端。D 触发器有边沿D 触发器和高电平D 触发器。74LS74为一个电平D 触发器。 ② 计数器74LS191

74LS191的管脚图如图13

图13

3.2.1.3 校时电路

(一)电路如图14 所示

图14

(二)电路的工作原理

8

9

10

U 10C

74L S00 1

2

3 U 11A

74L S00 11 12

13

U 10D

74L S00

R 3 3.3k

C 1 0.01u F

S1 G N D

10

11

U 8E

74L S04 1H Z

S2/M 2 Q 2

+5V

CTEN 4 D/U 5 CLK 14 LD 11 MAX/MIN 12 RCO 13 A 15 QA

3 B 1 QB

2 C 10 QC

6 D

9

QD 7

74LS191

校时电路的作用是:当数字钟接通电源或者出现误差时,校正时间。校时是数字钟应具有的基本功能。一般电子表都具有时、分、秒等校时功能。为了使电路简单,在此设计中只进行分和小时的校时。校时有“快校时”和“慢校时”两种,“快校时”是通过开关控制,使计数器对1Hz 校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。图中S1校分用的控制开关,S2(总图)为校时用的控制开关,它们的控制功能如表4所示,校时脉冲采用分频器输出的1Hz脉冲,当S1或S2分别为“0”时可以进行“快校时”。如果校时脉冲由单次脉冲产生器提供,则可以进行“慢校时”。表4校时开关的功能

S1 S2 功能

1 1 计数

1 0 校分

0 0 校时

表4

(三)对电路中所用的主要元件及功能介绍

在此电路中,用到的元器件有两块四2输入与非门74LS00 、一块六反相器74 LS04、两个电容、两个电阻以及两个开关。

(1)四-2输入与非门74LS00

集成逻辑门是数字电路中应用十分广泛最基本的一种器件,为了合理的使用和充分利用其性能,必须对它的主要参数和逻辑功能进行测试。74LS00与非门的主要参数为:

输出高电平:指与非门有一个以上输入端接地或接低电平时的输出电平值。

输出低电平:指与非门的所有输入端均接高电平时的输出电平值。

开门电平:指与非门输出处于额定低电平时允许输入高电平的最小值。

关门电平:指与非门输出处于高电平状态时允许输入低电平的最大值。

电压传输特性:是指门的输出电压随输入电压而变化的曲线,由它可以得到门电路的输出高电平、输出低电平、关门电平和开门电平等。

低电平的输出电源电流;是指输入所有端都悬空,输出端空载时,电源提供器件的电流。

高电平输出电源电流:是指输出端空载,每个门各有一个以上的输入端接地,电源提供给器件的电流。

低电平输入电流:是指被测输入端接地,其余输入端悬空时,由被测输入端流出的电流值。

高电平输入电流:指被测输入端接高电平,其余输入端接地,流入被测输入端的电流值。

扇出系数:门电路能驱动同类门的个数,它是衡量门电路负载能力的一个参数,TTL 与非门有两种不同性质的负载,即灌电流负载和拉电流负载,因此有两种扇出系数。即低电平扇出系数和高电平扇出系数。

3.2.1.4 译码与显示电路

(一)电路如图15所示

图15

BI/RBO 4 RBI 5 LT 3 A 7 B 1 C 2 D 6

a

13 b 12 c 11 d 10 e 9 f 15 g 14 74LS48

a b

f c

g

d e DPY

[LEDgn]

1 2 3 4 5 6 7

a b

c d e f

g DPY_7-SEG

(二)电路的工作原理

译码是编码的相反过程,译码器是将输入的二进制代码翻译成相应的输出信号以表示编码时所赋予原意的电路。常用的集成译码器有二进制译码器、二—十制译码器和BCD —7段译码器、显示模块用来显示计时模块输出的结果。

(三)对电路中的主要元件及功能介绍 (1)译码器74LS48

译码器是一个多输入、多输出的组合逻辑电路。它的工作是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数字分配,存储器寻址和组合控制信号等。译码器可以分为通用译码器和显示译码器两大类。在电路中用的译码器是共阴极译码器74LS48,用74LS48把输入的8421BCD 码ABCD 译成七段输出a-g ,再由七段数码管显示相应的数。 74LS48的管脚图如图16。在管脚图中,管脚LT 、RBI 、BI/RBO 都是低电平是起作用,作用分别为:

LT 为灯测检查,用LT 可检查七段显示器个字段是否能正常被点燃。 BI 是灭灯输入,可以使显示灯熄灭。

RBI 是灭零输入,可以按照需要将显示的零予以熄灭。BI/RBO 是共用输出端,RBO 称为灭零输出端,可以配合灭零输出端RBI ,在多位十进制数表示时,把多余零位熄灭掉,以提高视图的清晰度。也可用共阴译码器74LS248,CD4511。

图16

BI/RBO 4 RBI 5

LT 3 A 7 B 1 C 2 D

6

a 13

b 12

c 11

d 10

e 9

f 15 g

14

74L S 48

(2)显示器SM421050N

在此电路图中所用的显示器是共阴极形式,阴极必须接地。SM421050N 的管脚功能图如图17

图17

主体电路部分是由上面的以上的各个单元电路组成的。

3.2.2扩展功功能电路的设计 3.2.2.1定时控制电路

数字钟在指定的时刻发出信号,或驱动音响电路“闹时”;或对某装置的电源进行接通或断开“控制”。不管是闹时还是控制,都要求时间准确,即信号的开始时刻与持续时间必须满足规定的要求。 (一)设计电路如图18所示

1245

6

UZ1A

74LS201312109

8

UZ1B

74LS20

45

6

UZ4B

74LS0312

3

UZ4A

74LS03

1

2

3

UZ9A

74LS00

4

56

UZ9B

74LS00

R Z41K

R Z3

22

LS1SPEAK ER

Q1

3DG 130

+5V

SZ3SW

+5V

1K H Z

R L 3.3K

+5V

图18

a b

f c

g

d

e DPY [LEDgn]

1 2 3 4 5 6 7

a

b

c d e f

g DPY_7-SEG

(二)电路的工作原理

在这里将举例来说明它的工作原理。要求上午7时59分发出闹时信号,持续1分钟。设计如下:

7时59分对应数字钟的时时个位计数器的状态为32101()0111H Q Q Q Q =,分十位计数器的状态为32102()0101M Q Q Q Q =,分个位计数器的状态为

32101()1001M Q Q Q Q =,若将上述计数器输出为“1”的所有输出端经过与门

电路去控制音响电路,就可以使音响电路正好在7点59分响,持续1分钟后(即8点)停响。所以闹时控制信号Z 的表达式为 011S Q =2101202301()()()H M M Z Q Q Q Q Q Q Q M ?=?? 式中,M 为上午的信号输出,要求M=1。

如果用与非门实现的逻辑表达式为:

210122301()(0)()H M M Z Q Q Q M Q Q Q Q =???

在该电路图中用到了4输入二与非门74LS20,集电极开路的2输入四与非门74LS03,因OC 门的输出端可以进行“线与”,使用时在它们的输出端与电源+5V 端之间应接一电阻RL 。RL 的值由下式决定:

min max CC OH L OH IH V V R nI mI -=

+ max

min CC OL L OL IL

V V R I mI -=-

max OL V =0.4V,IL I =0.4mA,mi n OL V =2.4V,IH I =50uA,OL I =8mA,OH I =100Ua;m 为负

载门输入端总个数。

取RL=3.3K Ω。如果控制1KHz 高音和驱动音响电路的两极与非门也采用OC 门,则RL 的值应该重新计算。

由电路图可以看见,上午7点59分,音响电路的晶体管导通,则扬声器发出1KHz 的声音。持续1分钟到8点整晶体管因为输入端为“0”而截止,电路停闹。

(三)对电路中所用的主要元件及功能介绍 在电路中所用到的元件有74LS03,74LS20等。

(1)四2输入与非门74LS03,只要输入变量有一个为0则输出为1,只有输入全为1,输出才为0.

74LS03的管脚图如图19

图19

(2)二4输入与非门74LS20,四个输入端有一个为0,则输出为1,只有全部输入为1,输出才为0.

74LS20的管脚图如图20所示。

图20

3.2.2.2 仿广播电台正点报时电路

(一)功能要求

仿广播电台正点报时的功能要求是:每当数字钟计时快要到正点时,通常按照4低音1高音的顺序发出间断声响,以最后一声高音结束的时刻为正点时刻。

(二)该电路的工作原理

电路图的工作原理举例来说明;例如设4声低音(约500Hz )分别 在59分51秒、53秒、55秒及57秒,最后一声高音(约1000Hz )发生在59秒,它们的持续时间为1秒。只有当分十进位的220211M M Q Q =,分个

位的310

111M M Q Q =,秒十位的220211S S Q Q =及秒个位的011S Q =时,音响电路才能工作。

(三)对该电路中使用的元件的介绍

因为在该电路中所用的元件主要是74LS00、74LS04及74LS20这些元件在前面的电路中已经介绍.这里就不再介绍它了

1 2 4 5

6

&

A

74LS20

1 2

3

&

74LS03

A

3.2.2.3 自动报整点时数电路

(一)电路的工作原理

报整点时数电路的功能是:每当数字钟计时到整点时发出音响,并且几点响几声。

实现这一功能的电路主要有以下几个部分。

减法计数器:完成几点响几声的功能。即从小时计数器的整点开始进行减法计数,直到零为止。

编码器:将小时计数器的5个输出端4Q 、3Q 、2Q 、1Q 、0Q 按照“12翻1”的编码要求转换为减法计数器的4个输入端3D 、2D 、1D 、0D 所需要的BCD 码。在电路图中编码器是由与非门实现的组合逻辑电路。

其中编码器是由与非门实现的组合逻辑电路,其输出端的逻辑表达式由5变量的卡若图可得。

00D Q = 14114D Q Q Q Q =? 2241D Q Q Q =? 334D Q Q =? 分进位脉冲 小时计数器输出 减法计数器输入

CK 4Q 3Q 2Q 1Q 0Q 3D 2D 1D 0D

1 0 0 0 0 1 0 0 0 1

2 0 0 0 1 0 0 0 1 0

3 0 0 0 1 1 0 0 1 1

4 0 0 1 0 0 0 1 0 0

5 0 0 1 0 1 0 1 0 1

6 0 0 1 1 0 0 1 1 0

7 0 0 1 1 1 0 1 1 1

8 0 1 0 0 0 1 0 0 0

9 0 1 0 0 1 1 0 0 1

10 1 0 0 0 0 1 0 1 0

11 1 0 0 0 1 1 0 1 1

12 1 0 0 1 0 1 1 0 0

编码器的真值表

0123D D D D 逻辑控制电路 控制减法计数器的清“0”与置数,控制音响电路的输入信号。

使用Quartus进行多功能数字钟设计

EDA设计 使用Quartus II进行多功能数字钟设计 院系:机械工程 专业:车辆工程 姓名:张小辉 学号: 指导老师:蒋立平、花汉兵 时间: 2016年5月25日

摘要 本实验是电类综合实验课程作业,需要使用到QuartusⅡ软件,(Quartus II 是Altera公司的综合性PLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程)。本实验需要完成一个数字钟的设计,进行试验设计和仿真调试,实验目标是实现计时、校时、校分、清零、保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。 关键字:电类综合实验 QuartusⅡ数字钟设计仿真

Abstract This experiment is electric comprehensive experimental course work and need to use the Quartus II software, Quartus II is Altera integrated PLD / FPGA development software, schematic and VHDL, Verilog HDL and AHDL (Altera hardware description language support) etc. a variety of design input form, embedded in its own synthesizer and simulator can complete hardware configuration complete PLD design process from design entry to). The need to complete the design of a digital clock, and debug the design of experiment and simulation, the experimental goal is to achieve timing, school, reset, keep and the whole point timekeeping and other basic functions, and then download to the smartsopc experimental system debugging and validation. Key words: Electric power integrated experiment Quartus II Digital clock design Simulation

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

数字时钟电路图

多功能数字计时器设计 姓名:杨会章 学号: 1004220242 专业:通信工程 学院:电光学院 指导教师: 2021-9-15

目录 一、设计内容简介 (3) 二、电路功能设计要求 (3) 三、电路原理简介 (3) 四、各单元电路原理 1、脉冲发生电路 (3) 2、计时电路 (4) 3、译码显示电路 (4) 5、校分电路 (5) 4、清零电路 (6) 6、报时电路 (7) 7、基本电路原理图 (8) 8、动态显示原理 (9) 9、动态显示原理图 (10) 10、波形图 (11) 五、实验中问题及解决办法 (11) 六、附录 (12) 1、元件清单 (12) 2、芯片引脚图和功能表 (12) 3、参考文献 (15)

一、设计内容简介 实验采用中小规模集成电路设计一个数字计时器。数字计时器是由脉冲发生电路,计时电路,译码显示电路,和附加电路控制电路几部分组成。其中控制电路由清零电路,校分电路和报时电路组成。附加电路采用动态显示。 二、电路功能设计要求 1、设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下: 1)设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ); 2)设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能; 3)设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零。 4)设计校分电路:在任何时候,拨动校分开关,可进行快速校分。(校分隔秒) 5)设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz); 6)系统级联。将以上电路进行级联完成计时器的所有功能。 7)可以增加数字计时器附加功能:定时、动态显示等。 三、电路原理简介 32678Hz石英晶体振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器、D触发器输出标准秒脉冲。秒计数器记满60后向分计数器进位。计数器的输出经译码器送显示器。记时出现误差时可以用校时电路进行校分,校秒。利用74153四选一数据选择器和128Hz、64Hz时钟信号控制选择秒位、秒十位、分位输出到译码器,并选通相应的数码管,实现动态显示。 四、各单元电路原理 1、秒脉冲发生电路 采用32678Hz的石英晶体多谐振荡器作为脉冲信号源。经分频器CD4060的分频,从Q14端输出的2Hz的脉冲信号经D触发器组成的二分频电路得到1Hz 的秒脉冲信号。原理图如下:

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

12小时数字钟电路设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计 课程设计题目:12小时数字钟电路设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级:34010104 学号:2013040101164 姓名: 指导教师:胡光元 完成日期:2016 年 1月 13 日

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (2) 1.1设计原理 (2) 1.2设计思路 (2) 1.3设计环境 (2) 第2章详细设计方案 (2) 2.1算法与程序的设计与实现 (3) 2.2流程图的设计与实现 (4) 第3章程序调试与结果测试 (7) 3.1程序调试 (7) 列举出调试过程中存在的问题 (7) 3.2程序测试及结果分析 (7) 参考文献 (9) 附录(源代码) (10)

第1章总体设计方案 1.1设计原理 通过Verilog语言,编写12小时数字钟电路设计与实现的Verilog程序,一般的做法是底层文件用verilog写代码表示,顶层用写的代码生成的原理图文件链接组成,最后在加上输入输出端口。采用自上而下的方法,顶层设计采用原理图设计输入的方式。 1.2设计思路 1.实时数字钟显示功能,即时、分、秒的正常显示模式,并且在此基础上增加上,下午显示。 2.手动校准。按动方式键,将电路置于校时状态,则计时电路可用手动方式校准,每按一下校时键,时计数器加1;按动方式键,将电路置于校分状态,以同样方式手动校分。 1.3设计环境 (1)硬件环境 ?伟福COP2000型计算机组成原理实验仪 COP2000计算机组成原理实验系统由……… ?COP2000集成调试软件 COP2000集成开发环境是为…………. (2)EDA环境 ?Xilinx foundation f3.1设计软件 Xilinx foundation f3.1是Xilinx公司的可编程期间………….

数字钟的设计与制作过程

数字钟的设计与制作 一、设计指标 1. 显示时、分、秒。 2. 可以24 小时制或12 小时制。 3. 具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小时进位。校时时钟源可以手动输入或借 用电路中的时钟。 4. 具有正点报时功能,正点前10 秒开始,蜂鸣器 1 秒响 1 秒停地响 5 次。(选做) 5. 为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。 二、设计要求 1. 画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输 路径、方向和频率变化,并以文字对原理作辅助说明。 2. 设计各个功能模块的电路图,加上原理说明。 3. 选择合适的元器件,并选择合适的输入信号和输出方式,在面包板上接线验证、调试各个功能模块的电路。在确 保电路正确性的同时,输入信号和输出方式要便于电路的测试和故障排除。(也可选用Mutisim 仿真) 4. 在验证各个功能模块基础上,对整个电路的元器件和布线,进行合理布局,进行整个数字钟电路的接线调试。 三、制作要求自行在面包板上装配和调试电路,能根据原理、现象和测量的数据检查和发现问题,并加以解决。 四、设计报告要求 1. 格式要求(见附录 1 ) 2. 内容要求 ①设计指标。 ②画出设计的原理框图,并要求说明该框图的工作过程及每个模块的功能。 ③列出元器件清单,并画出管脚分配图和芯片引脚图。 ④画出各功能模块的电路图,加上原理说明(如 2 、 5 进制到10 进制转换,10 进制到 6 进制转换的原理,个 位到十位的进位信号选择和变换等)。 ⑥画出总布局接线图(集成块按实际布局位置画,关键的连接应单独画出,计数器到译码器的数据线、译码器到数 码管的数据线可以简化画法,但集成块的引脚须按实际位置画,并注明名称)。 ⑦数字钟的运行结果和使用说明。 ⑧设计总结:设计过程中遇到的问题及解决办法;设计过程中的心得体会;对课程设计的内容、方式等提出建议。 五、仪器与工具 1. 直流电源 1 台。 2. 四连面包板 1 块。 3. 数字示波器(每两人 1 台) 4. 万用表(每班 2 只)。

推荐-基于多功能数字钟的课程设计报告 精品

EDA技术课程设计 多功能数字钟 学院:城市学院 专业、班级: 姓名: 指导老师: 20XX年12月

目录 1、设计任务与要求 (2) 2、总体框图 (2) 3、选择器件 (2) 4、功能模块 (3) (1)时钟记数模块 (3) (2)整点报时驱动信号产生模块 (6) (3)八段共阴扫描数码管的片选驱动信号输出模块 (7) (4)驱动八段字形译码输出模块 (8) (5)高3位数和低4位数并置输出模块 (9) 5、总体设计电路图 (10) (1)仿真图 (10) (2)电路图 (10) 6、设计心得体会 (11)

一、设计任务与要求 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时输出喇叭有音乐响起。 二、总体框图 多功能数字钟总体框图如下图所示。它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。 系统总体框图 三、选择器件 网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、 seltime(驱动4位八段共阴扫描数码管的片选 驱动信号输出模块)、deled(驱动八段字形译 码输出模块)。

四、功能模块 多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。 (1) 时钟记数模块: <1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。 VHDL程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24 is port( clk: in std_logic; reset:instd_logic; qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end hour24; architecture behav of hour24 is begin process(reset,clk) begin if reset='1' then qh<="000"; ql<="0000"; elsif(clk'event and clk='1') then if (qh<2) then if (ql=9) then ql<="0000"; qh<=qh + 1; else ql<=ql+1; end if; else if (ql=3) then ql<="0000"; qh<="000"; else ql<=ql+1; end if; end if; end if; end process; end behav; 仿真波形如下:

多功能数字钟电路的设计与制作

多功能数字钟电路的设计与制作 一、设计任务与要求 设计和制作一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间,准点报时。 二、方案设计与论证 1.数字钟设计原理 数字电子钟一般由振荡器、译码器、显示器等几部分电路组成,这些电路都是数字电路中应用最广的基本电路。振荡器产生的1Hz的方波,作为秒信号。秒信号送入计数器进行计数,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的计数、显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的计数、显示电路与“秒”的相同;“时”的计数、显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果由七段数码管显示器显示。用4个与非门构成调时电路,通过改变方波的频率,进行调时。最后用与非门和发光二极管构成整点显示部分。

2.总体结构框图如下: 图14 总体框图 三、单元电路设计与参数计算 1.脉冲产生电路 图15 晶振振荡器原理图 图16 555定时器脉冲产生电路原理图 振荡器可由晶振组成(如图15),也可以由555定时器组成。图16是由555定时器构成的1HZ 的自激振荡器,其原理是: 第一暂态2、6端电位为Vcc 3 1 ,则输出为高电平,三极管不导通,电容C 充电,此 时2、6端电位上升。当上升至大于Vcc 3 2 时,输出为低电平,三极管导通,电容C 放电, 11 21 C 1 R C 2 R O

此时2、6端电位下降,下降至Vcc 3 1 时,输出高电平,以此循环。根据公式C R R f )2(43.121+≈ 得,此时频率为0.991。 图17 555定时器波形关系 图18 555定时器产生1Hz 方波原理图 2.时间计数电路 图19 74LS161引脚图 74LS161功能表 v V 2 3 V 1 3 v U 1 74L S 161D Q A 14Q B 13Q C 12Q D 11R C O 15A 3B 4C 5D 6 E N P 7E N T 10 ~L O A D 9~C L R 1 C L K 2

数字钟电路设计

本次设计题目:数字钟电路设计 1 简述 数字钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。在控制系统中也常用来做定时控制的时钟源。 2 题目要求 (1)具用时、分、秒十进制数字显示的计时器功能; (2)具有手动校时、校分的功能; (3)通过开关能实现小时的十二进制和二十四进制转换; (4)具有整点报时功能。 主要集成芯片: 计时单元74160 报时单元74192 3 总体方案设计 数字钟由振荡器、分频器、计数器、译码显示、报时等电路组成。其中振荡器和分频器组成标准秒信号发生器,直接决定计时系统的精度。由不同进制的计数器、译码器和显示器组成计时系统。将标准秒信号送入采用60进制的“秒计数器”,每累计60sec就发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60min,发出一个“时脉冲”,该信号将被送到“时计数器”。“时计数器”采用12或24进制计数器,可实现对一天12h 或24h的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过6位7段译码显示器显示出来,可进行整点报时,计时出现误差时,可以用校时电路校时、校分。数字钟的原理框图如图2.1所示。

时显示器校分控制电路 校时控制电路秒计时器 分计时器时计时器秒显示器 分显示器报时分频 晶振 图2.1 数字钟原理框图 4 单元电路设计提示 本题目的设计采用自下而上的层次电路设计法。先设计单元电路,再设计总电路。 (1) 秒脉冲产生电路 秒脉冲产生电路在此例中的主要功能有两个:一是产生标准脉冲信号,二是可提供整点报时所需要的频率信号。可用1Hz 的秒脉冲时钟信号源替代。 V11 Hz 5 V 图2.2 1Hz 的秒脉冲时钟信号源 (2) 秒、分、时计时器电路 秒计时器本质上为对1Hz 的秒脉冲时钟信号源进行60进制计数的计数器,其由一个10进制计数器(个位)和一个6进制计数器(十位)串接组成。个位与十位计数器之间采用同步级联复位方式,将个位计数器的进位输出端RCO 接至十位计数器的时钟信号输入端CLK ,完成个位对十位计数器的进位控制。十位计数器选择Q B 和Q C 端做反馈端,经与非门输出至控制清零端CLR ,形成6进制计数形式。十位

单片机数字钟电路图

数字钟设计 一、设计目的 1. 熟悉集成电路的引脚安排。 2. 掌握各芯片的逻辑功能及使用方法。 3. 了解面包板结构及其接线方法。 4. 了解数字钟的组成及工作原理。 5. 熟悉数字钟的设计与制作。 二、设计要求 1.设计指标 时间以24小时为一个周期; 显示时、分、秒; 有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

2.设计要求 画出电路原理图(或仿真电路图); 元器件及参数选择; 电路仿真与调试; PCB文件生成与打印输出。 3.制作要求自行装配和调试,并能发现问题和解决问题。 4.编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 三、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图3-1所示为数字钟的一般构成框图。 图3-1 数字钟的组成框图

⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。

数电课程设计多功能数字钟的设计与实现

课程设计任务书 题目: 多功能数字钟的设计与实现 初始条件: 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示时间计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。 4)设计提供连续触发脉冲的脉冲信号发生器, 5)具有校时单元、闹钟单元和整点报时单元。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《******大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年 3 月18 日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年3 月22日至2013 年5 月10 日,方案选择和电路设计。 3、2013 年5 月25 日至2013 年7 月2 日,电路调试和设计说明书撰写。 4、2013 年7 月5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1 引言 (1) 1.1 数字钟简介 (1) 1.2 EWB简介 (1) 2 方案选择 (3) 3 系统框图 (4) 4 分电路设计 (5) 4.1 脉冲产生电路 (5) 4.1.1设计要求 (5) 4.1.2所需元件 (6) 4.1.3元件介绍 (6) 4.1.4参数计算 (7) 4.1.5电路设计 (8) 4.2计数电路 (9) 4.2.1秒电路 (9) 4.2.2分电路 (11) 4.2.3时电路 (13) 4.3显示电路 (14) 4.3.1所需元件 (14) 4.3.2元件介绍 (14) 4.3.3原理说明 (14) 4.3.4电路设计 (15) 4.4整点报时电路 (15)

数字钟电路pcb设计讲解

摘要 本设计针对数字钟PCB板设计较为复杂的问题,利用国内知名度较高、应用最广泛的电路辅助设计软件protel99se进行了电路板的设计。本设计介绍了各部分电路的构成及准确完成了数字钟PCB电路板的设计。本设计数字钟原理图分析入手,说明了在平台中完成原理图设计,电气检测,网络表生成,PCB设计的基本操作程序。数字钟的主要电路是由电源电路、显示电路、校时电路、晶体振荡电路组成。PCB是电子元器件的支撑体,是电子元器件电气连接的提供者。PCB的设计是以电路原理图为根据,实现电路设计者所需要的功能。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。 关键词:数字钟;PCB;原理图;芯片

目录 前言 (1) 第一章绪论 (2) 1.1 数字钟的研究背景和意义 (2) 1.2 数字钟的发展和趋势 (2) 第二章系统电路的绘制 (3) 2.1 电路组成方框图 (3) 2.2 电路原理图制作 (3) 2.2.1 原理图环境设置 (4) 2.2.2 绘制原理图 (5) 2.2.3电气规则检查及网络表输出 (7) 2.3 原理图分析 (10) 2.3.1 晶体振荡器 (10) 2.3.2 分频器 (11) 2.3.3 计数器电路 (12) 2.3.4 显示和译码电路 (12) 2.3.5 电源电路 (13) 第三章电路板PCB设计 (14) 3.1 PCB设计规范 (14) 3.2 PCB设计流程 (17) 3.3 输出光绘文件 (21) 3.4 PCB制件作 (23) 心得体会 (25) 参考文献 (26) 附图 (27) 附表 (28)

前言 PCB(Printed Circuit Board),中文名称为印制线路板,简称印制板,是电子工业的重要部件之一。几乎每种电子设备,小到电子手表、计算器,大到计算机,通讯电子设备,军用武器系统,只要有集成电路等电子元器件,为了它们之间的电气互连,都要使用印制板。在较大型的电子产品研究过程中,最基本的成功因素是该产品的印制板的设计、文件编制和制造。印制板的设计和制造质量直接影响到整个产品的质量和成本,甚至导致商业竞争的成败。 Protel系列电子设计软件是在EDA行业中,特别是在PCB设计领域具有多年发展历史的设计界软件,由于其功能强大,操作简单实用,近年来成为国内发展最快。 Protel 99已不是单纯的PCB(印制电路板)设计工具,而是由多个模块组成的系统工具,分别是SCH(原理图)设计、SCH(原理图)仿真、PCB(印制电路板)设计、Auto Router(自动布线器)和FPGA设计等,覆盖了以PCB为核心的整个物理设计。该软件将项目管理方式、原理图和PCB图的双向同步技术、多通道设计、拓朴自动布线以及电路仿真等技术结合在一起,为电路设计提供了强大的支持。 随着计算机事业的发展,在信息化时代,电路设计中的很多工作都可以用计算机来完成。这样就大大减轻了设计人员的体力劳动强度,并且保证了设计的规范性准确性。而Protel99SE技术已越来越为人们所关注,人们利用protel99SE绘制各种原理图,进而制作出各种各样的科技产品已经成为当今世界的一个不可或缺的组成部分,所以说Protel99SE技术已越来越显得重要。

数字钟时钟电路图

目录 前言:....................................................................... 错误!未定义书签。 1.设计目的 (3) 2.设计功能要求 (3) 3.电路设计11111111111111111111111111111111111111111111 (3) 3.1设计方案 (3) 3.2单元电路的设计 (4) 3.2.1主体电路部分 (4) 3.2.1.1振荡电路 (5) 3.2.1.2 计数电路 (9) 3.2.1.3 校时电路 (14) 3.2.1.4译码与显示电路 (16) 3.2.2扩展功功能电路的设计 (18) 3.2.2.1定时控制电路 (18) 3.2.2.2 仿广播电台正点报时电路 (20) 3.2.2.3自动报整点时数电路 (21) 3.2.2.4触摸报整点时数电路 (22) 4.调试 (23) 4.1主体电路部分 (23) 4.2 扩展电路部分 (25) 5.总结 (27) 致谢 (28) 参考文献 (29) 附录 (30)

1.设计目的 设计一种多功能数字钟,该数字钟具有基本功能和扩展功能两部分。其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能。扩展功能部分则具有:定时控制、仿广播电台正点报时、自动报整点时数和触摸报正点的功能。数字钟的电路也是由主体电路和扩展电路两部分构成,在电路中,基本功能部分由主体电路实现,而扩展功能部电路实现。这两部分都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两位显示,扩展部分要有相应的响应电路。分则由扩展 2.设计功能要求 基本功能: (1)时的计时要求为“12翻1”,分和秒的计时要求为60进制 (2)准确计时,以数字形式显示时,分,秒的时间 (3)校正时间 扩展功能: (1)定时控制; (2)仿广播电台报时功能; (3)自动报整点时数; (4)触摸报整点时数; 3.电路设计 3.1设计方案 根据设计要求首先建立了一个多功能数字钟电路系统的组成框图,框图如图1所示。

多功能数字钟电路设计

多功能数字钟电路设计 1设计内容简介 数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。 2设计任务与要求 Ⅰ以十进制数字形式显示时、分、秒的时间。 Ⅱ小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。 Ⅲ能实现手动快速校时、校分; Ⅳ具有整点报时功能,报时声响为四低一高,最后一响为整点。 Ⅴ具有定制控制(定小时)的闹钟功能。 Ⅵ画出完整的电路原理图 3主要集成电路器件 计数器74LS162六只;74LS90三只;CD4511六只;CD4060六只;三极管74LS191一只;555定时器1只;七段式数码显示器六只,74LS00 若干;74LS03(OC) 若干;74LS20 若干;电阻若干,等 4设计方案 数字电子钟的原理方框图如图(1)所示。该电路由秒信号发生器、“时,分,秒”计数器、译码器及显示器、校时电路、整点报时电路、闹钟定时等电路组成。秒信号产生器决定了整个计时系统的精度,故用石英晶体振荡器加分频器来实现。将秒信号送入“秒计时器”,“秒计时器”采用六十进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用六十进制计数器,每60分钟,发出一个“时脉冲”,该信号经被送到“时计数器”作为“时计数器”的时钟脉冲,而“时计数器”采用二十四进制计数器,实现“24翻1”的计数方式,可实现对一天二十四小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过七段式显示译码器译码,通过刘伟LED 七段显示器显示出来。整点报时电路是根据计时系统的输出状态产生一脉冲信号,然后触发一音频发生器实现整点报时,定时电路与此类似。校时电路是用“时”、“分”、“秒”显示数

数字时钟显示电路图

数字时钟显示电路图 发布: | 作者: | 来源: liuxianping | 查看:3663次 | 用户关注: 数字时钟以时、分、秒显示时刻,共用六个数码管,本例采用共阳极数码管,用三极管控制电源的通断。工作原理:6个数码管的字型段输入端(a、b、c、d、e、f,g)全部并接到译码器相应的输出端。电源控制开关管分别接到3~6译码器的六个输出端。时钟六个计数器输出端均采用四位,分别为xl【、xt£、 m x?X2n x2z、x2h x2‘,?,x 、x x 、x 相应的每一位都接到4个6选1的选择器上,选择器输出共4位接到 数字时钟以时、分、秒显示时刻,共用六个数码管,本例采用共阳极数码管,用三极管控制电源的通断。 工作原理:6个数码管的字型段输入端(a、b、c、d、e、f,g)全部并接到译码器相应的输出端。 电源控制开关管分别接到3~6译码器的六个输出端。时钟六个计数器输出端均采用四位,分 别为xl【、xt£、 m x? X2n x2z、x2h x2‘,?,x 、x x 、x 相应的每一位都接到 4个6选1的选择器上,选择器输出共4位接到译码器的输入端(y 、y 、y 、Y )上。数码管及与之对应要显示的计数器,由Q]、、的编码(BCD码)进行循环选择例如,当Q 、 1

、均为?0 时,则3~6译码器的输出端1为高电平,第一个数码管加上电源,与此同 时,六选一选择器对应的输出分别为Y y— y Xs—x X —x 。这时译码器的输 出a,b,??,g虽然接到所有数码管上,但由于只有第一个数码管加上电源,故只有该管点 亮,显示第一个计数器的状态(x 、x 。、xX )。同理,当Q 、Q Q 为001”时,第二 个数码管点亮,显示第二个计数器的状态。依此类推,到第六个数码管断电后,接着第一个又开始点亮。如此循环显示,循环周期为6ms,给人的感觉,就相当所有数码管都一直在同时 加电,实际上每次只有一个,消耗的功率只有静态显示的六分之一。由于数码管电流很大,一 般小型管各段全亮时,大约要150mA~200mA 采用静电显示,此例中就要大于1A的 电流。这对长期工作的时钟很不经济,对于大型数码管会更加严重。此外,采用动态显示,数 码管的寿命与静态相比也相应延长Ⅳ 倍(本例为6倍)。

数字钟时钟电路图设计

文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持. 数字钟电路

文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持. 中文摘要: 加入世贸组织以后,中国会面临激烈的竞争。这种竞争将是一场科技实力、管理水平和人才素质的较量,风险和机遇共存,同时电子产品的研发日新月异,不仅是在通信技术方面数字化取代于模拟信号,就连我们的日常生活也进于让数字化取缔。说明数字时代已经到来,而且渗透于我们生活的方方面面。 就拿我们生活的实例来说明一下“数字”给我们带来的便捷。下面我们就以数字钟为例简单介绍一下。数字钟我们听到这几个字,第一反应就是我们所说的数字,不错数字钟就是以数字显示取代模拟表盘的钟表,在显示上它用数字反应出此时的时间,相比模拟钟能给人一种一目了然的感觉,不仅如此它还能同时显示时、分、秒。而且能对时、分、秒准确校时,这是普通钟所不及的。与此同时数字钟还能准确定时,在你所规定的时间里准确无误的想你发出报时声音,提醒你在此时所需要去做的事。与旧式钟表相比它更适用于现代人的生活。 在毕业之际恰好遇上学校的毕业课题电子时钟设计毕业论文。因而在所学专业的基础上做了以下毕业设计。希望给大家带来方便的同时,使自己对所学专业有进一步的了解!关键字:数字钟校时时间显示定时 目录 前言: .......................................................................... 错误!未定义书签。 1.设计目的 ................................................................... 错误!未定义书签。 2.设计功能要求 ........................................................... 错误!未定义书签。 3.电路设计 .................................................................... 错误!未定义书签。 3.1设计方案............................................................ 错误!未定义书签。 3.2单元电路的设计............................................... 错误!未定义书签。 3.2.1主体电路部分............................................... 错误!未定义书签。 振荡电路............................................................ 错误!未定义书签。 计数电路............................................................ 错误!未定义书签。 校时电路............................................................ 错误!未定义书签。 译码与显示电路................................................ 错误!未定义书签。 ................................................................................ 错误!未定义书签。 ............................................................................. 错误!未定义书签。 仿广播电台正点报时电路................................ 错误!未定义书签。 自动报整点时数电路........................................ 错误!未定义书签。

数字钟设计

数字钟的设计 一.设计要求: 1)采用24小时制,要有时/分/秒显示,显示采用六只LED数码管分别显示时分秒; 2)时、分、秒之间用二极管显示“:”,并每秒种闪烁一次; 3)时间的小时、分可手动调整; 4)采用+5V电源供电。 二.题目分析: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时序电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法. 三.总体方案: 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ 时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。 计数部分分为一个二十四进制和两个六十进制计数,采用74HC390芯片。 显示部分,采用CD4511译码器,而CD4511输出的是高电平有效,所以数码管采用的共阴数码管。 校时部分为了防抖动采用了串联RS触发器。

图1 数字时钟线路原理框图 四.原理图设计 我们这次做的数字钟总体分为四个部分,晶体振荡部分、计数部分、译码显示部分和校时部分。 图2 数字时钟整体原理图 五.各部分定性说明以及定量计算:

多功能数字钟的电路设计-数电课程设计报告

吉林建筑大学 电气与计算机学院 数字电子技术课程设计报告 设计题目:多功能数字钟的电路设计专业班级:自动化141 学生姓名: 学号: 指导教师: 设计时间:2016.06.20-2016.07.01

多功能数字钟的电路设计报告 一、设计任务及要求 本课程设计的基本任务,通过指导学生循序渐进地独立完成数字电路的设计任务,加深学生对理论知识的理解,有效地提高了学生的动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。侧重提高学生在数字电路应用方面的实践技能,树立严谨的科学作风,培养学生综合运用理论知识解决实际问题的能力。学生通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 设计要求: 1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。 2.具有校时功能,可分别对“时”、“分”进行单独校时。 3.能用硬件成功实现以上各功能。 4.具有整点自动报时功能,整点前的6s自动发出鸣叫声,步长1s,每1s 鸣叫一次,前五响是低音,最后一响为高音。 二、设计的作用、目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。掌握数字钟的设计、组装与调试方法。熟悉集成电路的使用方法。 三、设计过程 1.方案设计与论证 1.1系统设计思路 能按时钟功能进行小时、分钟、秒计时,能调时调分,能整点报时,使

相关文档
最新文档