杭电计算机组成原理寄存器堆设计实验-4

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

杭州电子科技大学计算机学院

实验报告

课程名称:计算机组成原理姓

实验项目:寄存器堆设计实验班级:

指导教师学号:

实验位置:日期:2015年5月7日

A=0;

B=0;

LED=O;

W_Data=O;

if(!Write_Reg)

begin

if(!C2)

begin

A=Addr;

case(C1)

2'b00:LED=R_Data_A[7:0];

2'bO1:LED=R_Data_A[15:8];

2'b10:LED=R_Data_A[23:16];

2'b11:LED=R_Data_A[31:24];

endcase

end

else

begin

B=Addr;

case(C1)

2'b00:LED=R_Data_B[7:0];

2'bO1:LED=R_Data_B[15:8];

2'b10:LED=R_Data_B[23:16];

2'b11:LED=R_Data_B[31:24];

endcase

end

end

else

begin

case(C1)

2'b00:W_Data=32'h0000_0003;

2'b01:W_Data=32'h0000_0607;

2'b10:W_Data=32'hFFFF_FFFF;

2'b11:W_Data=32'h1111_1234;

endcase

end

end

en dmodule

按照实验的要求,一步步的进行操作。 能够正确的进行 Wire_Reg 写操作,进行读操 作时LED 灯的

显示,利用选择A, B 操作读出信号,已经Reset 进行清零信号的操作, 都能顺利的进行,本实验多次失败,收获不小。

(接 上) 实验 内容 (算 法、 程 序、 步骤

NET "Addr[0]" LOC =M8; NET "Addr[1]" LOC =N8; NET "Addr[2]" LOC =U8;

NET "Addr[3]" LOC =V8; NET "Addr[4]" LOC =T5; NET "C1[0]" LOC = T10; NET "C1[1]" LOC = T9; NET "C2" LOC = B8; NET "Clk" LOC = C 9; NET "LED[0]" LOC =U16; NET "LED[1]" LOC =V16; NET "LED[2]" LOC =U15; NET "LED[3]" LOC =V15; NET "LED[4]" LOC =M11;

NET "LED[5]" LOC = N11; NET "LED[6]" LOC = R11; NET "LED[7]" LOC = T11; NET "Reset" LOC = D9; NET "Write_Reg" LOC = V9; 数据 记录 和计

结论 (结 果)

3.管脚配置

实验仿真波形:

n n <

: Q 电卡p r FWT 尹尸科pi 通 T r n ►卢1叫卜靳 "iz

相关文档
最新文档