实验一 函数信号发生器

实验一 函数信号发生器

一、实验目的

1、了解函数信号发生器的操作方法。

2、了解单片多功能集成电路函数信号发生器的功能及特点。

3、熟悉信号与系统实验箱信号产生的方法。

二、实验内容

1、用示波器观察输出的三种波形。

2、调其中电位器、拨位开关,观察三种波形的变化,了解其中的一些极限值。

3、熟悉其中的极限值,便于后面的实验,因为信号源是后面用的最多的。

三、预备知识

阅读原理说明部分有关ICL8038的资料,熟悉管脚的排列及其功能。

四、实验仪器

1、20M 双踪示波器一台。

2、信号与系统实验箱一台。

七、实验报告

1、分别画出0.1μF ,0.01μf 和0.001μF 时所观测到的方波,三角波和正弦波的波形图,从中得出什么结论。

2、列表整理C 取不同值时三种波形的频率和幅度值。

3、调整函数信号发生器的心得、体会。

八、实验测试点说明

1、测试点分别为:

“输出”(孔和测试钩):输出的信号可以从这点进行测量。

“GND ” :与实验箱的地相连。

2、调节点分别为:

“S3”:此模块的电源开关。

“频率调节”:用于调节输出信号的频率。

“幅度调节”:用于调节输出信号的幅度。

“占空比调节”:用于调节方波输出的占空比。

五、实验原理

1、I C L 8038是单片集成函数信号发生器,其内部框图如图1-1-1所示。它由恒流源1I 和2I 、电压比较器A 和B 、触发器、缓冲器和三角波变正弦波电路等组成。

外接电容C 由两个恒流源充电和放电,电压比较器A 、B 的阀值分别为电源电压值(EE cc V V +)的2/3和1/3。恒流源1I 和2I 的大小可通过外接电阻调节,但必须12I I >。当触发器的输出为低电平时,恒流源2I 断开,恒流源1I 给C 充电,它的两端电压V C 随时间线性上升,当V C 达到电源电压的2/3时,电压比较器A 的输出电压发生跳变,使触发器输出由低电平变为高电平,恒流源C 接通,由于12I I > (设122I I =),恒流源2I 将电流21I 加到C 上反充电,相当于C 由一个净电流I 放电,C 两端的电压V C 又转为直线下降。当它下降到电源电压的1/3时,电压比较器B 的输出电压发生跳变,使触发器的输出由高电平跳变为原来的低电平,恒流源2I 断开,1I 再给C 充电,…如此周而复始,产生振荡。若调整电路,使122I I =,则触发器输出为方波,经反相缓冲器由管脚⑨输出方波信号。C 上的电压V c 上升与下降时间相等时为三角波,经电压跟随器从管脚③输出三角波信号。将三角波变成正弦波是经过一个非线性的变换网络(正弦波变换器)而得以实现,在这个非线性网络中,当三角波电位向两端顶点摆动时,网络提供的交流通路阻抗会减小,这样就使三角波的两端变为平滑的正弦波,从管脚②输出。

2、ICL8038管脚功能图

图1-1-2 ICL8038管脚图

3、实验电路如图1-1-3所示:

图1-1-3 ICL8038实验电原理图

六、实验步骤

1、接上电源线,按下船形开关、电源开关及该模块电源开关S3,使其“输出”为方波,通过调整电位器“占空比调节”,使方波的占空比达到50%。(注:“波形选择”开关用于选择“方波”、“三角波”、“正弦波”;而“频率选择”开关用于选择频率的“低”、“中”、“高”;另外“频率调节”大电位器可调节频率,“幅度调节”大电位器可调节幅度)

2、保持方波的占空比为50%不变,“波形选择”开关选择“正弦波”,观察波形是否失真(用于调节失真度的电位器放在PCB的反面,已经调好)。

3、改变外接电容C的值(这里通过“频段选择”选择“低”、“中”、“高”),观测三种输出波形,并比较此三种外接电容所测得的波形之间有何差异,可得出何结论?(如这三种电容之间是10倍的关系,那么所对应的输出信号是否也是十倍关系?)

4、调节电位器“占空比调节”,分别观测三种输出波形(波形选择上面已介绍),有何结论?(如影响方波的占空比,那么对正弦波和三角波有何影响呢?)

5、调节“频率调节”旋扭,记录下函数发生器输出的最高和最低频率(注意配合“频率选择”档);再调节“幅度调节”旋扭,记录下函数发生器输出的最大和最小幅度。

6、如有失真度测试仪,则测出外接电容C分别为0.1μF,0.01μf和0.001μF时的正弦波失真系数r值(一般要求该值小于3%)。

实验8-序列信号发生器

实验8-序列信号发生器

实验8 序列信号发生器 实验目的: 1.熟悉掌握EDA软件工具Multisim 的仿真测试应用。 2.熟悉序列信号发生器的工作原理。 3.学习序列信号发生器的设计方法。 实验仪器设备与主要器件: 实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 4位十进制加法计数器74LS160;4位二进制加法计数器74LS161。 8选1数据选择器74LS251、74LS152、74LS151。 实验内容: 1.用计数器74LS160设计一个7位巴克码(0100111)的产生电路,画出电路时序图。用示波器观察电路输出的波形。 实验原理: ①先设计计数器。由于序列长度为7,所以选用74LS160设计一个八进制计数器。 QB?。 现采用置零法,有效状态为0000~0110,所以LOAD=QC ②然后设计组合输出电路。令计数器计数过程中每一状态的输出符合给定序列要求,用8选一数据选择器74LS251.实现逻辑函数,且数据选择器的数据输入端D0 D1 D2 D3 D4 D5 D6 D7 0 1 0 0 1 1 1 * 实验分析:如电路图所示,将计数器的输出QCQBQA作用于数据选择器的地址输入端,于是,每计一个数,数据选择器就输出一个预先置好的数据。当CP信号持续不断地加到计数器上,QCQBQA的状态(也即74LS251的地址输入代码)按0000~0110的顺序不断循环,对应的输出也不断地循环:0100111 实验结果与现象:

2.设计灯光控制逻辑电路。要求红、绿、黄三种颜色的灯在时钟信号作用下按表2—8—2 CP顺序红绿黄 0 0 0 0 1 1 0 0 2 0 1 0 3 0 0 1 4 1 1 1 5 0 0 1 6 0 1 0 7 1 0 0 8 0 0 0 实验原理: ①先设计计数器。从表2—8—2可以看出三个序列信号的序列长度为8,所以选用74LS160设计一个八进制计数器。现采用置零法,有效状态为0000~0111,所以LOAD=QC ?。 QA? QB ②然后设计组合输出电路。该电路需产生三个序列信号,所以需要三个数据选择器74LS251。令计数器计数过程中每一状态的输出符合给定序列要求,用8选一数据选择器74LS251.实现逻辑函数,且数据选择器的数据输入端所置数为: D0 D1 D2 D3 D4 D5 D6 D7 0 1 0 0 1 0 0 1 D0 D1 D2 D3 D4 D5 D6 D7 0 0 1 0 1 0 1 0

信号发生器实验报告

低频电路课程设计 OCL 功率放大器设计 学院名称: 电气信息工程学院 专 业: 测控技术与仪器 班 级: 08测控1班 姓 名: 朱彬彬 学 号: 08314105 指导老师: 王云松 2010年 6 月20 日 JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 电气信息工程学院

1设计课题:OCL功率放大器 为了保证功率,效率和失真三个指标满足一定的要求,早期的功率放大器多采用变压耦合。这种电路变压器体积大,比较笨重,耗损多,而且高频和低频部分频响特性不好,在引入负反馈时,很容易自激。随着电子技术的发展,后来被无输出变压器的功率放大电路(OTL)代替。在OTL电路中,虽去掉了变压器,但为了能用但电源供电,输出端接了一个大电容,这个大电容影响了电路的低频特性,于是出现了OCL电路。 OCL功放是在OTL功放的基础上发展起来的,它比OTL功放的频带更宽,保真度更高。OCL功放是一种直接耦合的多级放大器,它运用了许多电子器件,包含了多种基本电路形式。 OCL功率放大器采用两组电源供电,使用了正负电源,在电压不太高的情况下,也能获得较大的输出功率,省去了输出端的耦合电容,使放大器低频特性得到扩展,OCL功放电路也是定压式输出电路,其电路由于性能比较好,所以广泛的应用于高保真扩音设备中。 2 主要技术指标 最大不失真输出功率:Pom≥8w 负载阻抗(扬声器):R L=10Ω 频率响应:f=50Hz~20kHz 非线性失真系数:γ≤功率放大器1% 输入灵敏度:Vi≤300mv 稳定性:电源升高和降低20%时,输出零点漂移≤100mv 3实验用仪器: 直流稳压电源一台 低频信号发生器一台 低频毫伏表一台 示波器一台 万用表一台 晶体管图示仪一台 失真度测量仪一台 4电路原理 OC L功率放大器时一种直接耦合的多级放大器,总体可分为三个部分

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原理

什么是函数信号发生器,函数信号发生器的作用,函数信号发生器的工作原 理 什么是函数信号发生器?函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。 函数信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。 函数信号发生器的工作原理:函数信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。它能够产生多种波形,如三角波、锯齿波、矩形波、正弦波,所以在生产实践和科技领域中有着广泛的应用。 函数信号发生器系统主要由主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器和指示电压表构成。当输入端输入小信号正弦波时,该信号分两路传输,一路完成整流倍压功能,提供工作电源;另一路进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出,输出端为可调电阻。 函数信号发生器产生的各种波形曲线均可以用三角函数方程式来表示,函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频发射,这里的射频波就是载波,把音频、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。

序列信号发生器分析

华南师范大学实验报告 学生姓名林竞浩李瑜贤学号20102804016 专业多媒体与网络技术年级、班级2010级4班 课程名称模拟电路与数字电路实验项目555定时器的应用 实验类型□验证□设计□综合实验时间2011年月日 实验指导老师实验评分 一、实验目的 1 学会构建序列发生器的基本方法 2掌握对序列信号发生器序列信号的测试分析方法。 二、实验仪器 安装有Multisim10软件的个人电脑 三、实验原理 序列信号器产生序列信号,有多种方法。本实验采用计数器和数据选择器构成发生。图一中四位二进制同步计数器74S163状态输出端QC,QB,QA输出的数据,送入8选1数据选择器74S151的地址输入端ABC,需要获取产生的序列信号接至数据选择器74S151数据输入端D0-D7,数据从Y或W端输出,实验电路原理图如下

四、实验步骤 1设定产生周期为00010111序列信号。 2打开电脑Multisim10操作平台,从TTL元件库中取出74S163,74S151,显示器件库中取下带译码器的数码管及探针等器件,以及逻辑分析仪,按实验电路图连接好。 3设定时钟信号发生器V1的频率为100HZ .调整好实验电路后,数码管有0-7计数显示,探针有闪动。 4双击打开逻辑分析仪工作界面,以备测试波形。调整逻辑分析仪时钟源为外同步。正常后,观察数码管,探针,逻辑分析仪波形的变化,把相关数据填入表1中 输入时钟脉冲计数器输出逻辑指示灯数码管显示 QC QB QA Y 0 0 0 0 N 0 1 0 0 1 N 1 2 0 1 0 N 2 3 0 1 1 Y 3 4 1 0 0 N 4 5 1 0 1 Y 5

函数信号发生器实训报告

电子与信息工程 综合实验课程报告 实验名称:基于单片机的信号发生器的设计与实现班级:电子1班 组员:徐丹许艳徐梅 指导教师:张辉 时间:2013-6-8至2011-6-16

目录 前言......................................................................... 错误!未定义书签。 1 波形发生器概述 (2) 1.1波形发生器的发展状况 (2) 1.2国内外波形发生器产品比较 (3) 2 方案论证与比较 (4) 2.1 方案一 (4) 2.2 方案二 (5) 2.3 方案三 (5) 3 硬件原理 (5) 3.1 MCS-51单片机的内部结构 (6) 3.1.1 内部结构概述 (6) 3.1.2 CPU结构 (6) 3.1.3 存储器和特殊功能寄存器 (7) 3.2 P0-P3口结构 (7) 3.3 时钟电路和复位电路 (8) 3.3.1时钟电路 (8) 3.3.2单片机的复位状态 (9) 3.4 DAC0832的引脚及功能 (10) 4 软件原理 (11) 4.1 主流程图 (12) 4.1.1 方波仿真图 (13) 4.1.2 三角波仿真图 (14) 4.1.3 锯齿波仿真图 (15) 4.1.4 梯形波仿真图 (16) 4.1.5 正弦波仿真图 (17) 4.2附录:实物图 (17) 总结 (18) 致谢 (19) 参考文献 (19)

1 波形发生器概述 在电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域,经常需要用到各种各样的信号波形发生器。随着集成电路的迅速发展,用集成电路可很方便地构成各种信号波形发生器。用集成电路实现的信号波形发生器与其它信号波形发生器相比,其波形质量、幅度和频率稳定性等性能指标,都有了很大的提高。 1.1波形发生器的发展状况 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。 在70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。 在70 年代后,微处理器的出现,可以利用处理器、A/D/和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。 90 年代末,出现几种真正高性能、高价格的函数发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8 中波形,而且价格昂贵。不久以后,Analogic公司推出了型号为Data-2020的多波形合成器,Lecr oy 公司生产的型号为9100 的任意波形发生器等。 到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz 的DDS 芯片,同时也推动了函数波形发生器的发展,2003 年,Agilent 的产品33220A能够产生17 种波形,最高频率可达到20M,2005 年的产品N6030A 能够产生高达500MHz 的频率,采样的频率可达1.25GHz。由上面的产品可以看出,函数波形发生器发展很快近几年来,国际上波形发生器技术发展主要体现在以下几个方面:

函数信号发生器使用说明(超级详细)

函数信号发生器使用说明 1-1 SG1651A函数信号发生器使用说明 一、概述 本仪器是一台具有高度稳定性、多功能等特点的函数信号发生器。能直接产生正弦波、三角波、方波、斜波、脉冲波,波形对称可调并具有反向输出,直流电平可连续调节。TTL可与主信号做同步输出。还具有VCF输入控制功能。频率计可做内部频率显示,也可外测1Hz~的信号频率,电压用LED显示。 二、使用说明 面板标志说明及功能见表1和图1 图1 表1 序 面板标志名称作用号 1电源电源开关按下开关,电源接通,电源指示灯亮 2 1、输出波形选择 波形波形选择 2、与1 3、19配合使用可得到正负相锯齿波和脉

DC1641数字函数信号发生器使用说明 一、概述 DC1641使用LCD显示、微处理器(CPU)控制的函数信号发生器,是一种小型的、由集成电路、单片机与半导体管构成的便携式通用函数信号发生器,其函数信号有正弦波、三角波、方波、锯齿波、脉冲五种不同的波形。信号频率可调范围从~2MHz,分七个档级,频率段、频率值、波形选择均由LCD显示。信号的最大幅度可达20Vp-p。脉冲的占空比系数由10%~90%连续可调,五种信号均可加±10V的直流偏置电压。并具有TTL电平的同步信号输出,脉冲信号反向及输出幅度衰减等多种功能。除此以外,能外接计数输入,作频率计数器使用,其频率范围从10Hz~10MHz(50、100MHz[根据用户需要])。计数频率等功能信息均由LCD显示,发光二极管指示计数闸门、占空比、直流偏置、电源。读数直观、方便、准确。 二、技术要求 函数发生器 产生正弦波、三角波、方波、锯齿波和脉冲波。 2.1.1函数信号频率范围和精度 a、频率范围 由~2MHz分七个频率档级LCD显示,各档级之间有很宽的覆盖度, 如下所示: 频率档级频率范围(Hz) 1 ~2 10 1~20 100 10~200

如何使用函数信号发生器

如何使用函数信号发生器 认识函数信号发生器 信号发生器一般区分为函数信号发生器及任意波形发生器,而函数波形发生器在设计上又区分出模拟及数字合成式。众所周知,数字合成式函数信号源无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟,其锁相环( PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phase Jitter)及频率漂移均能达到相当稳定的状态,但毕竟是数字式信号源,数字电路与模拟电路之间的干扰,始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发. 这是通用模拟式函数信号发生器的结构,是以三角波产生电路为基础经二极管所构成的正弦波整型电路产生正弦波,同时经由比较器的比较产生方波,换句话说,如果以恒流源对电容充电,即可产生正斜率的斜波。同理,右以恒流源将储存在电容上的电荷放电即产生负斜率的斜波,电路结构如下: 当I1 =I2时,即可产生对称的三角波,如果I1 > >I2,此时即产生负斜率的锯齿波,同理I1 < < I2即产生正斜率锯齿波。 再如图二所示,开关SW1的选择即可让充电速度呈倍数改变,也就是改变信号的频率,这也就是信号源面板上频率档的选择开关。同样的同步地改变I1及I2,也可以改变频率,这也就是信号源上调整频率的电位器,只不过需要简单地将原本是电压信号转成电流而已。 而在占空比调整上的设计有下列两种思路: 改变电平的幅度,亦即改变方波产生电路比较器的参考幅度,即可达到改变脉宽而频率不变的特性,但其最主要的缺点是占空比一般无法调到20%以下,导致在采样电路实验时,对瞬时信号所采集出来的信号有所变动,如果要将此信号用来作模数(A/D)转换,那么得到的数字信号就发生变动而无所适从。但不容否认的在使用上比较好调。 2、占空比变,频率跟着改变,其方法如下: 将方波产生电路比较器的参考幅度予以固定(正、负可利用电路予以切换),改变充放电斜率,即可达成。 这种方式的设计一般使用者的反应是“难调”,这是大缺点,但它可以产生10%以下的占空比却是在采样时的必备条件。 以上的两种占空比调整电路设计思路,各有优缺点,当然连带的也影响到是否能产生“像样的”锯齿波。 接下来PA(功率放大器)的设计。首先是利用运算放大器(OP) ,再利用推拉式(push-pull)放大器(注意交越失真Cross-distortion的预防)将信号送到衰减网路,这部分牵涉到信号源输出信号的指标,包含信噪比、方波上升时间及信号源的频率响应,好的信号源当然是正弦波信噪比高、方波上升时间快、三角波线性度要好、同时伏频特性也要好,(也即频率上升,信号不能衰减或不能减太大),这部分电路较为复杂,尤其在高频时除利用电容作频率补偿外,也牵涉到PC板的布线方式,一不小心,极易引起振荡,想设计这部分电路,除原有的模拟理论基础外尚需具备实际的经验,“Try Error”的耐心是不可缺少的。 PA信号出来后,经过π型的电阻式衰减网路,分别衰减10倍(20dB)或100倍(40dB),此时一部基本的函数波形发生器即已完成。(注意:选用π型衰减网络而不是分压电路是要让输出阻抗保持一定)。 一台功能较强的函数波形发生器,还有扫频、VCG、TTL、 TRIG、 GATE及频率计等功能,其设

模电函数信号发生器实验报告

电子电路模拟综合实验 2009211120 班 09210580(07)号 桂柯易

实验1 函数信号发生器的设计与调测 摘要 使用运放组成的积分电路产生一定频率和周期的三角波、方波(提高要求中通过改变积分电路两段的积分常数从而产生锯齿波电压,同时改变方波的占空比),将三角波信号接入下级差动放大电路(电流镜提供工作电流),利用三极管线性区及饱和区的放大特性产生正弦波电压并输出。 关键词 运放积分电路差动发达电路镜像电流源 实验内容 1、基本要求: a)设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器。 1)输出频率能在1-10KHz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; 3)三角波Uopp=8V; 4)正弦波Uopp>1V。 b)设计该电路的电源电路(不要求实际搭建),用PROTEL软件绘制完整的 电路原理图(SCH) 2、提高要求: a)三种输出波形的峰峰值Uopp均可在1V-10V范围内连续可调。 b)三种输出波形的输出阻抗小于100欧。 c)用PROTEL软件绘制完整的印制电路板图(PCB)。 设计思路、总体结构框图 分段设计,首先产生方波-三角波,再与差动放大电路相连。 分块电路和总体电路的设计(1)方波-三角波产生电路: 正弦波产生电路三角波产生电路 方波产生电路

首先,稳压管采用既定原件2DW232,保证了输出方波电压Uo1的峰峰值为12V,基本要求三角波输出电压峰峰值为8V,考虑到平衡电阻R3的取值问题,且要保证R1/Rf=2/3,计算决定令Rf=12K,R1=8K,R3=5K。又由方波的上升、下降沿要求,第一级运放采用转换速度很快的LM318,Ro为输出限流电阻,不宜太大,最后采用1K欧电阻。二级运放对转换速度要求不是很高,故采用UA741。考虑到电容C1不宜过小,不然误差可能较大,故C1=0.1uF,最后根据公式,Rw抽头位于中点时R2的值约为300欧,进而确定平衡电阻R4的阻值。考虑到电路的安全问题,在滑阻的接地端串接了一个1K的电阻。(注:实际调测时因为滑阻转动不太方便,所以通过不断换滑阻的方式确定适当频率要求下Rw的阻值,我的电路最后使用的是1K欧的滑阻) (2)正弦波产生电路:

EDA实验 函数信号发生器

EDA设计实验 题目:函数信号发生器 作者: 所在学院:信息科学与工程学院 专业年级: 指导教师: 职称: 2011 年 12 月 11 日

函数信号发生器 摘要:函数信号发生器在生产实践和科技领域有着广泛的应用。本设计是采用了EDA技术设计的函数信号发生器。此函数信号发生器的实现是基于VHDL语言描述各个波形产生模块,然后在QuartusⅡ软件上实现波形的编译,仿真和下载到Cyclone芯片上。整个系统由波形产生模块和波形选择模块两个部分组成。最后经过QuartusⅡ软件仿真,证明此次设计可以输出正弦波、方波、三角波,锯齿波,阶梯波等规定波形,并能根据波形选择模块的设定来选择波形输出。 关键字:函数信号发生器;Cyclone;VHDL;QuartusⅡ 引言: 函数信号发生器即通常所说的信号发生器是一种常用的信号源,广泛应用于通信,雷达,测控,电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格要求的电信号设备是最普通、最基本也是应用最广泛的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。随着现代电子技术的飞速发展,现代电子测量工作对函数信号信号发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波性,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度、及分辨率高等。本文基于

EDA设计函数信号发生器,并产生稳定的正弦波、方波、锯齿波、三角波、阶梯波。 正文: 1、Quartus II软件简介 1)Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第

函数信号发生器实验报告

北京邮电大学 电子电路综合设计实验报告 课题名称:函数信号发生器的设计和调试 院系:信息与通信工程学院 班级: 2012211113 姓名:李鸣野 学号:2012210362 班内序号:01 摘要 函数(波形)信号发生器能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。方波-三角波产生电路主要有运放组成,其中由施密特触发器多谐振荡器产生方波,积分电路将方波转化为三角波,差分电路实现三角波-正弦波的变换。该电路振荡频率由第一个电位器调节,输出方波幅度的大小由稳压管的稳压值决定;正弦波幅度和电路的对称性分别由后两个电位器调节。

关键词:方波,三角波,正弦波 基本要求: a)设计一个设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器 1)输出频率能在1-10khz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%--70%; 3)三角波Uopp=8V; 4)正弦波Uopp≥1V。 b)用PROTEL软件绘制完整的电路原理图(SCH) 设计思路: 要产生方波,需要用稳压管和比较器组成方波产生电路。稳压管为实验提供的6v稳压管。方波经过RC积分电路积分得到三角波,幅度为Uo2m=±(UZ+UD),由R1和Rf的比值及稳压管的稳压值决定,实验要求三角波峰峰值为8v,故根据公式推导后,选用20K的电阻作为R1,30K的电阻作为Rf。R3为12K。R4为直流平衡电阻,应与R2保持一致,均为5K。R0为限流电阻,根据实验要求选用2K。 三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。R e取阻值为100Ω,C1、C2、C4为隔直流电容,取C1=C2=C3=33uF。Rp1调节三角波

实验四序列发生器

南昌大学实验报告学生姓名:学号:专业班级:中兴101班 实验类型:□验证□综合■设计□创新实验日期:2012、11、16成绩: 实验四序列信号发生器与检测器设计 一、实验目的 1、学习VHDL文本输入法 2、学习有限状态机的设计 3、利用状态机实现串行序列的输出与序列的检测 4、继续学习优化设计 二.实验内容与要求 1. 设计序列发生器,完成序列为0111010011011010的序列生成器 2.用有限状态机设计序列检测器,实现串行序列11010的检测器 3. 若检测到符合要求的序列,则输出显示位为“1”,否则为“0” 4. 对检测到的次数计数 5.整个工程采用顶层文件+底层模块的原理图或文本的设计思路 三、实验仪器 PC机、Quartus II软件、EDA实验箱 四、实验思路 1.设计序列发生器 基本思想为一个信号CQ1计数,给另一个信号CO(代表序列的每一位)赋值的方法: 先设定端口CQ1用于产生序列时计数,因为序列共16位,因此端口CQ1为标准逻辑矢量,位宽为4,设另一个端口M代表序列的每一位,CQ1每计一个数,就给M赋一个值,这样产生一个16位的序列。由于端口不能参与相关运算,因此在结构体中我分别定义了信号CQ1(标准逻辑矢量,位宽4),信号Q与相应的端口CQ1 CO对应,在进程中参与相应的运算,在程序的最后再用端口接收信号: CO<=Q; 在进程中我采用case –when 语句,如当CQ1为“0000”的时候,给另一信号Q赋‘0’,当CQ1为“0001” 2.序列检测器 序列检测器设计的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及序列,直到在连续的检测中收到的每一位码都与实验要求相同。在此,必须利用状态转移图。 电路需要分别不间断记忆:初始状态、1、11、110、1101、11010共六种状态,状态转移如图:

函数信号发生器使用说明

EE1641C~EE1643C型 函数信号发生器/计数器 使用说明书 共 11 张 2004年 10 月

1 概述 1.1 定义及用途 本仪器是一种精密的测试仪器,因其具有连续信号、扫频信号、函数信号、脉冲信号等多种输出信号,并具有多种调制方式以及外部测频功能,故定名为EE1641C型函数信号发生器/计数器、EE1642C(EE1642C1)型函数信号发生器/计数器、EE1643C型函数信号发生器/计数器。本仪器是电子工程师、电子实验室、生产线及教学、科研需配备的理想设备。 1.2 主要特征 1.2.1 采用大规模单片集成精密函数发生器电路,使得该机具有很高的可靠性及优良性能/价格比。 1.2.2 采用单片微机电路进行整周期频率测量和智能化管理,对于输出信号的频率幅度用户可以直观、准确的了解到(特别是低频时亦是如此)。因此极大的方便了用户。 1.2.3 该机采用了精密电流源电路,使输出信号在整个频带内均具有相当高的精度,同时多种电流源的变换使用,使仪器不仅具有正弦波、三角波、方波等基本波形,更具有锯齿波、脉冲波等多种非对称波形的输出,同时对各种波形均可以实现扫描、FSK调制和调频功能,正弦波可以实现调幅功能。此外,本机还具有单次脉冲输出。 1.2.4 整机采用中大规模集成电路设计,优选设计电路,元件降额使用, 以保证仪器高可靠性,平均无故障工作时间高达数千小时以上。 1.2.5 机箱造型美观大方,电子控制按纽操作起来更舒适,更方便。 2 技术参数 2.1 函数信号发生器技术参数 2.1.1 输出频率 a) EE1641C:0.2Hz~3MHz 按十进制分类共分七档 b) EE1642C:0.2Hz~10MHz 按十进制分类共分八档 c) EE1642C1:0.2Hz~15MHz 按十进制分类共分八档 d) EE1643C:0.2Hz~20MHz 按十进制分类共分八档 每档均以频率微调电位器实行频率调节。 2.1.2 输出信号阻抗 a) 函数输出:50Ω b) TTL同步输出:600Ω 2.1.3 输出信号波形 a) 函数输出(对称或非对称输出):正弦波、三角波、方波 b) 同步输出:脉冲波 2.1.4 输出信号幅度 a) 函数输出:≥20Vp–p±10%(空载);(测试条件:fo≤15MHz,0dB衰减) ≥14Vp–p±10%(空载);(测试条件:15MHz≤fo≤20MHz,0dB衰减) b) 同步输出:TTL电平:“0”电平:≤0.8V,“1”电平:≥1.8V(负载电阻≥600Ω) CMOS电平:“0”电平:≤4.5V,“1”电平:5V~13.5V可调(fo≤2MHz) c) 单次脉冲:“0”电平:≤0.5V,“1”电平:≥3.5V 2.1.5 函数输出信号直流电平(offset)调节范围:关或(–10V~+10V)±10%(空载) [“关”位置时输出信号所携带的直流电平为:<0V±0.1V,负载电阻为:50Ω时,调节范围为 (–5V~+5V)±10%]

实验 函数信号发生器的原理与使用

电子科学系实验报告 系班组实验日期年月日姓名学号同组姓名 实验操作评定:好、较好、基本掌握、较差指导老师 实验二函数信号发生器的原理与使用 二、实验目的: 二、实验仪器和设备 三、实验内容 内容: 1 熟悉掌握函数发生器各个操作部件的功能 2. 实验验证各个功能的实现过程 3 用示波器观察各种输出信号 4 验证个功能指标是否符合仪器的标示 5 总结说明仪器的特点及应用 四、实验原理 使用一个激发装置(即信号源)来激励一个系统,以便观察、分析它对激励信号的反映如何,这是电子测试技术的标准实验之一。在设计、制造飞机时,需要事先了解机体及其有关设备在各种气流、雷击、雨水、温变干扰下的反映情况;在发展冶炼技术时,需要了解炉内物态随炉脸温度燃油器喷口温度而变化的动态过程;在分析一个电子线路时,常常需要了解输出信号频率及振幅与输入信号频率及振幅之间的关系。这样,在进行上述过程的硬件或软件的模拟实验时.就需要人为地产生各种模仿的信号。系统在这些模仿的信号的激励下产生各种反应,因此,称它们为激励信号。产生这些信号的仪器设备称为信号源。 信号源包括函数信号发生器、脉冲信号发生器、音频信号发生器、任意波形信号发生器以 及扫描频率发生器等多种设备,用于各种各样的工程测试。图11.1所示的产品系列树反映出信号源之间的关系,其中直接数字器件合成(DDS)是一种较新的技术,它利用了最

现代化的数字器件的能力,成为系列产品的主干,发展出函数发生器相任意波形发生器这样高水平的产品。 基本的函数发生器提供正弦波、方波和三角波,频率范围在1MHz到约50MHz之间。图11.2显示的是一个包含两个运算放大器的基本函数发生器。器件A1是一个积分器,它提供一个三角波输出信号,它所产生的三角波信号通过正弦波形成电路而产生正弦波信号输出。器件A2是一个电压比较器,它产生一个方波信号。大多数普通价格的函数发生器都以一些单片式集成电路(IC)为基础,并能提供正弦波、方波和三角波。价格较高者则能提供触发信号*只有较宽的频率范围祁较稳定的频率.具有可变的上升时间(对方波而言)和可变的直流补偿.具有较高的频率准确度和较强的输出驱动能力,旦波形失真度小。

EDA实验报告--序列信号发生器

南昌大学实验报告 学生姓名:林聪学号:5801209051 专业班级:中兴091班 实验类型:□验证□综合□设计□创新实验日期:2011/10/19实验成绩: 实验三序列信号发生和检测器 一、实验目的 1、进一步熟悉EDA实验装置和QuartusⅡ软件的使用方法; 2、学习有限状态机法进行数字系统设计; 二、设计要求 完成设计、仿真、调试、下载、硬件测试等环节,在EDA实验装置上实现一个串行序列信号发生器和一个序列信号检测器的功能,具体要求如下: 1、先设计0111 0100 1101 1010序列信号发生器,其最后8BIT数据用LED显示出来; 2、再设计一个序列信号检测器,检测上述序列信号,若检测到串行序列“11010”则输出为“1”, 否则输出为“0”; 三、主要仪器设备 1、微机1台 2、QuartusII集成开发软件1套 3、EDA实验装置1套 四、实验步骤 1、分析实验,由于实验需要产生具备序列发生器和序列检测器的功能,根据分模块处理的 思想,可以把实验分为两个模块,通过顶层元件建立输入输出的连接。 2、建立模块使用VHDL编程,首先,建立序列发生器的模块,名为xlfsq,VHDL代码如下: libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entityxlfsq is port(clk,rst:instd_logic; cout,e1,e2,e3,e4,e5,e6,e7,e8:out std_logic); endxlfsq; architecture one of xlfsq is signalcq:std_logic; signal f1,f2,f3,f4,f5,f6,f7:std_logic; begin P1:process(clk,rst) variablecount:std_logic_vector(3 downto 0); begin if(rst='0')then count:="0000"; elsif(clk'event and clk='1')then count:=count+1; end if;

51单片机信号发生器实验报告

微控制器技术创新设计实验报告姓名:学号:班级: 一、项目背景 信号发生器也叫做振荡器或是信号源,在现在的科技生产实践中有着广泛而重要的应用。现在的特殊波形发生器在价格上不够经济,有些昂贵。而基于AT89C51单片机的函数信号发生器可以满足此要求。根据傅里叶变换,各种波形均可以用三角函数的相关式子表示出来。函数信号发生器能够产生多种波形,如三角波、锯齿波、矩形波、方波和正弦波。 二、项目整体方案设计 可以利用单片机编程的方法来实现波形的输出。可选用AT89C51作为控制器,输出相应波形的数字信号,再用D/A 转换器输出相应波形的模拟信号。用DAC0832作为D/A转换器,再经过两级放大后输出,最终在示波器上显示。可以使用按键扫描来实现波形的变化

三、硬件设计 四、软件设计 #include #define uchar unsigned char #define uint unsigned int Const tab[]={0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xff,0xff ,0xff,0xff,0xff,0xff,0xff,0xff}; void delay(void) { uchar i; for(i=230;i>0;i--); }

void main() { uchar i; while(1) { for(i=0;i<18;i++) { P1=tab[i]; delay(); } } } 五、实验结果

六、项目总结 通过这次实验设计,锻炼我们综合运用知识,提出问题,分析问题,及解决问题的能力。我感慨颇多,在着手设计的这段日子里,我又学到了很多东西。特别是理论联系实际。我认为掌握单片机的应用及开发技术是最基本的也是必要的。单片机是以后从事相关嵌入式研发最为基本的入门芯片。所以学好单片机是我们电子类的必要任务。通过这次单片机课程设计的顺利完成,离不开付老师指导,也离不开班上同学的耐心帮助。在此,我对所有帮助过我的老师和同学表示我真挚的感谢!

函数信号发生器

基于labview的函数信号发生器的设计 [摘要] 介绍一种基于labvIEW环境下自行开发的虚拟函数信号发生器,它不仅能够产 生实验室常用的正弦波、三角波、方波、锯齿波信号,而且还可以通过输入公式,产生测试和研究领域所需要的特殊信号。对任意波形的发生可实现公式输入;对信号频率、幅度、相位、偏移量可调可控;方波占空比可以调控;噪声任意可加、创建友好界面、信号波形显示;输出频谱特性;所有调制都可微调与粗调。该仪器系统操作简便,设计灵活,功能强大,可以完成不同环境下的测量要求。因此具有很强的实用性。 关键词:虚拟仪器,labvIEW,虚拟函数信号发生器,正弦波,三角波,方波,锯齿波, 特殊信号。 引言: 在有关电磁信号的测量和研究中,我们需要用到一种或多种信号源,而函数信号发生器则为我们提供了在研究中所需要的信号源。它可以产生不同频率的正弦波,方波,三角波,锯齿波,正负脉冲信号,调频信号,调幅信号和随机信号等。其输出信号的幅值也可以按需要进行调节。传统信号发生器种类繁多,价格昂贵,而且功能固定单一,不具备用户对仪器进行定义及编程的功能,一个传统实验室很难拥有多类信号发生器。然而,基于虚拟仪器技术的实验室均能满足这一要求。 1、虚拟仪器简介: 自从1986年美国NI(National Instrument)公司提出虚拟仪器的概念以来,随着计 算机技术和测量技术的发展,虚拟仪器技术也得到很快的发展。虚拟仪器是指:利用现有的PC机,加上特殊设计的仪器硬件和专用软件,形成既有普通仪器的基本功能,又有一般仪器所没有的特殊功能的新型仪器。与传统的仪器相比其特点主要有:具有更好的测量精度和可重复性;测量速度快;系统组建时间短;由用户定义仪器功能;可扩展性强;技术更新快等。虚拟仪器以软件为核心,其软件又以美国NI公司的Labview虚拟仪器软件开发平台最为常用。Labview是一种图形化的编程语言,主要用来开发数据采集,仪器控制及数据处理分析等软件,功能强大。目前,该开发软件在国际测试、测控行业比较流行,在国内的测控领域也得到广泛应用。函数信号发生器是在科学研究和工程设计中广泛应用的一种通用仪器。下面结合一个虚拟函数信号发生器设计开发具体介绍基于图形化编程语言Labview的虚拟仪器编程方法与实现技术。 2、虚拟函数信号发生器的结构与组成 2.1 虚拟函数信号发生器的前面板

(Proteus数电仿真)序列信号发生器电路设计

实验8 序列信号发生器电路设计 一、实验目的: 1.熟悉序列信号发生器的工作原理。 2.学会序列信号发生器的设计方法。 3.熟悉掌握EDA软件工具Proteus 的设计仿真测试应用。 二、实验仪器设备: 仿真计算机及软件Proteus 。 74LS161、74LS194、74LS151 三、实验原理: 1、反馈移位型序列信号发生器 反馈移位型序列信号发生器的结构框图如右图 所示,它由移位寄存器和组合反馈网络组成, 从寄存器的某一输出端可以得到周期性的序列 码。设计按一下步骤进行: (1)确定位移寄存器位数n ,并确定移位 寄存器的M 个独立状态。 CP 将给定的序列码按照移位规律每 n 位一组,划分为M 个状态。 若M 个状态中出现重复现象,则应增加移位寄存器的位数。用n+1位再重复上述过程,直到划分为M 个独立状态为止。 (2)根据M 各不同状态列出寄存器的态序表和反馈函数表,求出反馈函数F 的表达式。 (3)检查自启动性能。 (4)画逻辑图。 2、计数型序列信号发生器 计数型序列信号发生器和组合的结构框图 如图 所示。它由计数器和组合输出网络两部分 组成,序列码从组合输出网络输出。设计 过程分为以下两步: (1)根据序列码的长度M 设计模M (2)按计数器的状态转移关系和序列码的要求组合输出网络。由于计数器的状态设置和输出序列没有直接关系,因此这种结构对于输出序列的更改比较方便,而且还能产生多组序列码。 四、计算机仿真实验内容及步骤、结果: 1、设计一个产生100111序列的反馈移位型序列信号发生器。 1、根据电路图在protuse 中搭建电路图

信号发生器实验报告(波形发生器实验报告)

信号发生器 一、实验目的 1、掌握集成运算放大器的使用方法,加深对集成运算放大器工作原理的理解。 2、掌握用运算放大器构成波形发生器的设计方法。 3、掌握波形发生器电路调试和制作方法 。 二、设计任务 设计并制作一个波形发生电路,可以同时输出正弦、方波、三角波三路波形信号。 三、具体要求 (1)可以同时输出正弦、方波、三角波三路波形信号,波形人眼观察无失真。 (2)利用一个按钮,可以切换输出波形信号。。 (3)频率为1-2KHz 连续可调,波形幅度不作要求。 (4)可以自行设计并采用除集成运放外的其他设计方案 (5)正弦波发生器要求频率连续可调,方波输出要有限幅环节,积分电路要保证电路不出现积分饱和失真。 四、设计思路 基本功能:首先采用RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器)将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后通过切换开关可以同时输出三种信号。 五、具体电路设计方案 Ⅰ、RC 桥式正弦波振荡器 图1 图2 电路的振荡频率为:RC f π21 0= 将电阻12k ,62k 及电容100n ,22n ,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz ,116.7Hz~603.2Hz ,583.7Hz~3015Hz 。因为低档的最高频率高于高档的最低频率,所以符合实验中频率连续可调的要求。 如左图1所示,正弦波振荡器采用RC 桥式振荡器产生频率可调的正弦信号。J 1a 、J 1b 、J 2a 、J 2b 为频率粗调,通过J 1 J 2 切换三组电容,改变频率倍率。R P1采用双联线性电位器50k ,便于频率细调,可获得所需要的输出频率。R P2 采用200k 的电位器,调整R P2可改变电路A f 大小,使得电路满足自激振荡条件,另外也可改变正弦波失真度,同时使正弦波趋于稳定。下图2为起振波形。

函数信号发生器实验报告

函数发生器设计(1) 一、设计任务和指标要求 1、可调频率范围为10Hz~100Hz 。 2、可输出三角波、方波、正弦波。 3、三角波、方波、正弦波信号输出的峰-峰值0~5V 可调。 4、三角波、方波、正弦波信号输出的直流电平-3V~3V 可调。 5、输出阻抗约600Ω。 二、电路构成及元件参数的选择 1、振荡器 由于指标要求的振荡频率不高,对波形非线性无特殊要求。采用图1所示的电路。同时产生三角波和方波。 图1 振荡电路 根据输出口的信号幅度要求,可得最大的信号幅度输出为: V M =5/2+3=5.5V 采用对称双电源工作(±V CC ),电源电压选择为: V CC ≥V M +2V=7.5V 取V CC =9V 选取3.3V 的稳压二极管,工作电流取5mA ,则: V Z =V DZ +V D =3.3+0.7=4V 为方波输出的峰值电压。 OM Z CC Z 3Z Z V -V V -1.5V-V 9-1.5-4 R ==700ΩI I 5≈=()

取680Ω。 取8.2K Ω。 R 1=R 2/3=8.2/1.5=5.47(K Ω) 取5.1K Ω。 三角波输出的电压峰值为: V OSM =V Z R 1/R 2=4×5.1/8.2=2.489(V ) R 4=R 1∥R 2=3.14 K Ω 取3K Ω。 Z Z V 4 RW=8K 0.1~0.2I 0.15 ==Ω?() () 取10K Ω。 R 6=RW/9=10/9=1.11(K Ω) 取1K Ω。 积分时间常数: 取C=0.1uF ,则: R5=4.019/0.1=40.19K Ω 取39K Ω。 取R 7=R 5= 39K Ω。 转换速率 Z 1max OSM max 24V R f 44 5.1100 SR 4V f =0.995mS R 8.2 ???≥= =(V/) 一般的集成运算放大电路都能满足要求。兼顾波形转换电路集成电路的使用。集成电路 选用四运放LM324。LM324内含四个相同的运算放大器,其中两个用于振荡器,两个用于波形变换。 三、振荡电路工作原理 利用集成运算放大电路也可实现产生方波和三角波的信号发生器,电路主要由比较器和积分器构成。电路中,有源积分器由运算放大器2A 及其外围电路积分电容C 和电阻R 5、R 7组成。有源积分器的输出通过R 1接至比较器1A 的正输入端,积分器的输入电压由电位器分压取出,设R W 与R 6形成的分压系数为a w ,则积分器的输入电压为V i =±a w Vz 。分压系数a w 为: Z 2Z V 4R 8K 0.1I 0.15≥==Ω?() 251MAX R 8.2 R C= 4.019mS 4R f 4 5.1100 ==??()

相关文档
最新文档