北航电子电路设计数字部分实验报告

北航电子电路设计数字部分实验报告
北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告

学院:

姓名:

实验一简单组合逻辑设计

实验内容

描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。

实验仿真结果

实验代码

主程序

module compare(equal,a,b);

input[7:0] a,b;

>

output equal;

assign equal=(a>b)1:0;

endmodule

测试程序

module t;

reg[7:0] a,b;

reg clock,k;

?

wire equal;

initial

begin

a=0;

b=0;

clock=0;

k=0;

end

,

always #50 clock = ~clock;

always @ (posedge clock)

begin

a[0]={$random}%2;

a[1]={$random}%2;

a[2]={$random}%2;

a[3]={$random}%2;

a[4]={$random}%2;

#

a[5]={$random}%2;

a[6]={$random}%2;

a[7]={$random}%2;

b[0]={$random}%2;

b[1]={$random}%2;

b[2]={$random}%2;

b[3]={$random}%2;

b[4]={$random}%2;

b[5]={$random}%2;

b[6]={$random}%2;

b[7]={$random}%2;

end

initial

begin #100000 $stop;end

compare m(.equal(equal),.a(a),.b(b)); endmodule

%

实验二简单分频时序逻辑电路的设计

实验内容

用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。

实验仿真结果

实验代码

主程序

module half_clk(reset,clk_in,clk_out);

^

input clk_in,reset;

output clk_out;

reg clk_out;

always@(negedge clk_in)

begin

if(!reset)

clk_out=0;

else

clk_out=~clk_out;

end

endmodule

测试程序

`timescale 1ns/100ps

`define clk_cycle 50

;

module top;

reg clk,reset;

wire clk_out;

always #`clk_cycle clk=~clk;

initial

begin

!

clk=0;

reset=1;

#10 reset=0;

#110 reset=1;

#100000 $stop;

end

half_clk m0(.reset(reset),.clk_in(clk),.clk_out(clk_out));

-

endmodule

实验三利用条件语句实现计数分频时序电路

实验内容

利用10MHz的时钟,设计一个单周期形状的周期波形。

实验仿真结果

实验代码

主程序

'

module fdivision(RESET,F10M,out); input F10M,RESET;

output out;

reg out;

reg[7:0] i;

always @(posedge F10M)

if(!RESET)

begin

{

out<=0;

i<=0;

end

else if(i==2||i==3)

begin

out=~out;

i<=i+1;

end

(

else if(i==5)

i<=1;

else

i<=i+1;

endmodule

测试程序

`timescale 1ns/100ps

(

module division_top;

reg F10M,RESET;

wire out;

always #50 F10M=~F10M;

initial

begin

RESET=1;

&

F10M=0;

#90 RESET=0;

#100 RESET=1;

#10000 $stop;

end

fdivision fdivision(.RESET(RESET),.F10M(F10M),.out(out));

endmodule

实验四阻塞赋值与非阻塞赋值的区别:

实验内容

比较四种不同的写法,观察阻塞与非阻塞赋值的区别。

Blocking:

always @(posedge clk)

begin

b=a;

c=b;

end

Blocking1:

always @(posedge clk)

begin

c=b;

b=a;

end

`

Blocking2:

always @(posedge clk) b=a; always @(posedge clk) c=b;

non_Blocking:

always@(posedge clk)

begin

b<=a;

c<=b;

End

实验仿真结果

实验代码

主程序

module blocking(clk,a,b,c); output[3:0] b,c;

input[3:0] a;

input clk;

reg[3:0] b,c;

always @(posedge clk)

begin

b=a;

c=b;

end

endmodule

测试部分

`timescale 1 ns/100 ps

`include "./"

`include "./"

`include "./"

`include "./"

%

module compareTop;

wire[3:0]b11,c11,b12,c12,b13,c13,b2,c2; reg[3:0]a;

reg clk;

initial

begin

clk=0;

}

forever#50 clk=~clk;

end

initial

begin

a=4'h3;

$display("%d",a);

#100 a=4'h7;

$display("%d",a);

`

#100 a=4'hf;

$display("%d",a);

#100 a=4'ha;

$display("%d",a);

#100 a=4'h2;

$display("%d",a);

#100 $stop;

end

"

blocking blocking(clk,a,b11,c11);

blocking1 blocking1(clk,a,b12,c12);

blocking2 blocking2(clk,a,b13,c13);

non_blocking non_blocking(clk,a,b2,c2);

endmodule

实验五用always块实现较复杂的组合逻辑

实验目的

运用always块设计一个8路数据选择器。要求:每路输入数据与输出数据均为4位2进制数,当选择开关(至少3位)或输入数据发生变化时,输出数据也相应地变化。

实验仿真结果

实验代码

主程序

module alu(out,opcode,a1,a2,a3,a4,a5,a6,a7,a8);

output[3:0] out;

reg[3:0] out;

input[3:0] a0,a1,a2,a3,a4,a5,a6,a7;

<

input[2:0] opcode;

always@(opcode or a1 or a2 or a3 or a4 or a5 or a6 or a7 or a0) begin

case(opcode)

3'd0: out=a0;

3'd1: out=a1;

3'd2: out=a2;

3'd3: out=a3;

3'd4: out=a4;

3'd5: out=a5;

3'd6: out=a6;

3'd7: out=a7;

default:out=4'b0000;

endcase

end

endmodule

;

测试程序

`timescale 1ns/1ns

`include "./"

module alutext;

wire[3:0] out;

reg[3:0] a1,a2,a3,a4,a5,a6,a7,a8;

reg[2:0] opcode;

~

initial

begin

a1={$random}%16;

a2={$random}%16;

a3={$random}%16;

a4={$random}%16;

a5={$random}%16;

a6={$random}%16;

a7={$random}%16;

a8={$random}%16;

repeat(100)

begin

#100 opcode={$random}%8;

a1={$random}%16;

a2={$random}%16;

a3={$random}%16;

}

a4={$random}%16;

a5={$random}%16;

a6={$random}%16;

a7={$random}%16;

a8={$random}%16;

end

#100 $stop;

end

alu alu(out,opcode,a1,a2,a3,a4,a5,a6,a7,a8);

endmodule

实验六在 Verilog HDL中使用函数

实验目的

设计一个带控制端的逻辑运算电路,分别完成正整数的平方、立方和最大数为5的阶乘运算。实验仿真结果

实验代码

主程序

module tryfunct(clk,n,result1,result2,result3,reset); output[31:0]result1,result2,result3;

input[3:0]n;

input reset,clk;

reg[31:0]result1,result2,result3;

always@(posedge clk)

begin

if(!reset)

begin

result1<=0;

result2<=0;

result3<=0;

end

else

·

begin

result1<=fun1(n);

result2<=fun2(n);

result3<=fun3(n);

end

end

function[31:0]fun1;

input[3:0]operand;

fun1=operand*operand;

endfunction

function[31:0]fun2;

input[3:0]operand;

begin

fun2=operand*operand;

[

fun2=operand*fun2;

end

endfunction

function[31:0]fun3;

input[3:0]operand;

reg[3:0]index;

begin

]

fun3=1;

if(operand<11)

for(index=2;index<=operand;index=index+1)

fun3=index*fun3;

else

for(index=2;index<=10;index=index+1)

fun3=index*fun3;

end

endfunction

endmodule

测试程序

`include"./"

`timescale 1ns/100ps

module tryfunctTop;

&

reg[3:0] n,i;

reg reset,clk;

wire[31:0]result1,result2,result3;

initial

begin

clk=0;

n=0;

reset=1;

`

#100 reset=0;

#100 reset=1;

for(i=0;i<=15;i=i+1)

begin

#200 n=i;

end

#100 $stop;

end

;

always#50 clk=~clk;

tryfunct

m(.clk(clk),.n(n),.result1(result1),.result2(result2),.result3(result3),.reset(

reset));

endmodule

实验七在Verilog HDL中使用任务(task)实验目的

用两种不同方法设计一个功能相同的模块,该模块能完成四个8位2进制输入数据的冒泡排序。第一种,模仿原题例子中用纯组合逻辑实现;第二种,假设8位数据是按照时钟节拍串行输入的,要求用时钟触发任务的执行法,每个时钟周期完成一次数据交换操作。

实验仿真结果

'

实验代码

主程序1

module rank(ra,rb,rc,rd,a,b,c,d);

output[7:0]ra,rb,rc,rd;

input[7:0]a,b,c,d;

reg[7:0]ra,rb,rc,rd,va,vb,vc,vd,tmp; reg i;

[

always@(a or b or c or d)

begin

{va,vb,vc,vd}={a,b,c,d};

repeat(7)

begin

exchange(va,vb);

exchange(vb,vc);

exchange(vc,vd);

!

end

{ra,rb,rc,rd}={va,vb,vc,vd};

end

task exchange;

inout[7:0] x,y;

reg[7:0] tmp;

if(x>y)

~

begin

tmp=x;

x=y;

y=tmp;

end

endtask

endmodule

测试部分1

`timescale 1ns/100ps

`include ""

module task_Top;

reg[7:0]a,b,c,d;

wire[7:0]ra,rb,rc,rd;

initial

begin

|

a=0;b=0;c=0;d=0;

repeat(50)

begin

#100 a={$random}%255;

b={$random}%255;

c={$random}%255;

d={$random}%255;

end

@

#100 $stop;

end

rank rank(.ra(ra),.rb(rb),.rc(rc),.rd(rd),.a(a),.b(b),.c(c),.d(d));

endmodule

主程序2

module rank(a,rst,clk,ra,rb,rc,rd);

output[7:0]ra,rb,rc,rd;

input[7:0]a;

input clk,rst;

reg[7:0]ra,rb,rc,rd;

reg[7:0]va,vb,vc,vd;

reg[3:0]i;

always@(posedge clk or negedge clk)

begin

~

if(!rst)

begin

va=0;

vb=0;

vc=0;

vd=0;

i=0;

end

else

begin

if(i<8)

begin

i=i+1;

va=a;

exchange(va,vb);

exchange(vb,vc);

,

exchange(vc,vd);

exchange(va,vb);

exchange(vb,vc);

exchange(va,vb);

{ra,rb,rc,rd}={va,vb,vc,vd}; end

end

end

task exchange;

inout[7:0] x,y;

reg[7:0] tmp;

if(x>y)

begin

tmp=x;

x=y;

y=tmp;

end

endtask

endmodule

测试部分2

`timescale 1ns/100ps

`include ""

module task_Top;

reg[7:0]a;

wire[7:0]ra,rb,rc,rd;

reg clk,rst;

initial

begin

a=0;

rst=0;

clk=0;

#50 rst=1;

#100 a={8{$random}};

)

#100 a={8{$random}};

#100 a={8{$random}};

#100 a={8{$random}};

#100 a={8{$random}};

#100 a={8{$random}};

#100 a={8{$random}};

#100 a={8{$random}};

#100 $stop;

?

end

always #100 clk=~clk;

rank rank(.a(a),.rst(rst),.clk(clk),.ra(ra),.rb(rb),.rc(rc),.rd(rd));

endmodule

实验八利用有限状态机进行时序逻辑的设计

实验目的

设计一个串行数据检测器。要求连续四个或四个以上为1 时输出1,其他输入情况下为0.

~

实验仿真结果

实验代码

主程序

module seqdet(x,z,clk,rst,state);

input x,clk,rst;

output z;

output[2:0] state;

`

reg[2:0] state;

wire z;

parameter IDLE='d0,A='d1,B='d2,C='d3,D='d4; assign z=(state==D&&x==1)1:0;

always@(posedge clk)

if(!rst)

(

begin

state<=IDLE;

end

else

casex(state)

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

电力电子电路分析与仿真实验报告模板剖析

电力电子电路分析与仿真 实验报告 学院:哈尔滨理工大学荣成学院 专业: 班级: 姓名: 学号: 年月日

实验1降压变换器 一、实验目的: 设计一个降压变换器,输入电压为220V,输出电压为50V,纹波电压为输出电压的0.2%,负载电阻为20欧,工作频率分别为220kHz。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 四、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。

3.仿真模型如图所示。 六、参数设置 七、仿真结果分析

实验2升压变换器 一、实验目的: 将一个输入电压在3~6V的不稳定电源升压到稳定的15V,纹波电压低于0.2%,负载电阻10欧,开关管选择MOSFET,开关频率为40kHz,要求电感电流连续。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 五、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。

2018年北航科学与技术教育考研(045117)考试科目、招生人数、参考书目、复习指导---新祥旭考研

2018年北航科学与技术教育(045117)考试科目、招生人数、参考书目、 复习指导 一、招生信息 所属学院:人文社会科学学院 招生人数:25 所属门类代码、名称:教育学[04] 所属一级学科代码、名称:教育硕士[0451] 二、研究方向: 01不区分研究方向 三、初试考试科目: ①101思想政治理论 ②204英语二 ③333教育综合 ④911材料综合或921通信类专业综合或933控制工程综合或942机械设计综合或951力学基础或961计算机基础综合或971机械工程专业综合 四、参考书目 911材料综合 《物理化学》高等教育出版社傅献彩 《材料现代分析测试方法》北京理工大学出版社,2006 王福耻主编 《材料科学基础》上海交大出版社胡赓祥 , 蔡珣 , 戎咏 921通信类专业综合 《电子电路基础》(第二版)高等教育出版社张凤言 《模拟电子技术基础》(第四版)高等教育出版社华成英、童诗白 《电磁场与电磁波》(二——四、六、七、十、十一章)高等教育出版社(2008)苏东林《电磁场理论学习辅导与典型题解》电子工业出版社(200509)苏东林等

《信号与系统》高等教育出版社(2011年1月第一版)熊庆旭、刘锋、常青 933控制工程综合 《自动控制原理》高等教育出版社程鹏主编 《数字电子技术基础》(2007年二月第一版)北京航空航天大学出版社胡晓光主编或《数字电子技术基础》(2001第四版)高等教育出版社阎石主编 942机械设计综合 面向21世纪课程教材《材料力学》Ⅰ、Ⅱ高等教育出版社单辉祖编 《机械设计基础》下册(2007年第二版)北京航空航天大学出版社吴瑞祥主编 951力学基础 《理论力学》高等教育出版社 (2009-12出版)。谢传锋、王琪、程耀等 《静力学》高等教育出版社谢传锋 《动力学》高等教育出版社谢传锋 《材料力学I》高等教育出版社出版(第三版)单辉祖 《材料力学II》高等教育出版社出版(第三版)单辉祖 961计算机基础综合 《数据结构教程》(第二版,第三次印刷〕北航出版社唐发根著 图像工程(上册)图像处理(第2版)清华大学出版社张毓晋编著 数据库系统概论(第四版)高等教育出版社王珊萨师煊著 971机械工程专业综合 ?动力学?高等教育出版社谢传锋 ?机械原理?科学出版社2010年出版郭卫东 《机械原理教学辅导与习题解答》科学出版社2010年出版郭卫东 ?机械设计基础下册?(25-34章)北京航空航天大学出版社吴瑞祥等 《机械设计》北京航空航天大学出版社王之栎、马纲、陈心颐编 ?自动控制原理?第四版1-6章科学出版社出版胡寿松(或?自动控制原理?1-6章)(中央广播电视大学出版社出版)(孙虎章) 五、复习指导 1、参考书的阅读方法

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

北航 942 机械设计综合考试大纲(2011版)

942 机械设计综合考试大纲(2011版) 一、总体要求 1、《材料力学》要求对工程设计中有关构件的强度、刚度、稳定性等有明确 的认识,掌握材料力学的基本概念、基本定律及必要的基础理论知识,并具备综合运用材料力学知识解决和分析实际问题的能力。 2、《机械设计基础》要求考生掌握通用机械零部件工作能力设计和结构设计 的基本知识、基本理论与基本方法,具有运用基本知识、基本理论与基本方法解决实际问题的能力。 二、考试内容及范围 2.1 材料力学(70%,105分) 1、绪论:了解材料力学的任务与研究对象及基本假设,杆件变形的基本形式,掌握内力,截面法,应力,应变,弹性模量,泊松比的概念,掌握剪应力互等定理,胡克定律,剪切虎克定律。 2、轴向拉压应力与材料的力学性能:掌握拉压杆横截面与斜截面上的轴力与应力计算;掌握圣维南原理,掌握拉压杆的强度条件,材料在常温、静荷下的拉、压力学性能;了解应力集中的概念。 3、轴向拉压变形:掌握拉压杆的变形与叠加原理,桁架的节点位移;掌握拉压与剪切应变能概念;会求解简单拉压静不定问题;了解热应力和初应力概念。 4、扭转:掌握圆截面轴的扭转剪应力计算;掌握极惯性矩与抗扭截面模量,扭转强度条件,圆轴扭转变形,扭转刚度条件;会求解简单扭转静不定问题;了解非圆截面的扭转。 5、弯曲内力:掌握平面弯曲内力概念;能够计算较复杂受载下的内力,会利用载荷集度、剪力和弯矩间的微分关系画内力图。 6、弯曲应力:掌握弯曲正应力公式及其推导,弯矩和挠度曲线曲率半径的关系,抗弯截面模量,抗弯刚度。掌握梁的强度计算过程。了解弯曲剪应力、提高梁弯曲强度的一些措施。

7、弯曲变形:掌握挠度和转角的概念、计算梁的挠度和转角的积分法、叠加法。理解挠曲线的近似微分方程的推导过程,掌握梁的刚度条件,简单超静定梁的解法。 8、应力、应变状态分析: 理解平面应力状态下的应力、应变分析,掌握主应力和主平面的概念,掌握平面应力状态分析的解析法和图解法。掌握广义虎克定律;掌握E、G、 关系。 9、复杂应力状态下的强度问题:掌握强度理论概念;掌握常用的四个强度理论;了解强度理论的应用;掌握弯扭组合时的应力和强度计算弯扭拉(压)组合时的应力和强度计算。 10 、压杆的稳定性:理解弹性平衡稳定性的概念。掌握细长杆临界载荷的欧拉公式;掌握压杆稳定性校核;了解提高压杆稳定性的措施。 11、疲劳与断裂:掌握交变应力与疲劳破坏、应力比、S-N曲线、持久极限的概念,了解提高疲劳强度的主要措施。 12、应力分析的实验方法:了解常用实验应力分析方法(电测和光弹)的原理和方法。 2.2机械设计基础(机设30%,45分) 1.掌握轴的类型、失效形式及设计要求;了解轴的常用材料、结构设计应考虑的问题和提高轴强度的措施;掌握轴的受力分析方法并可利用相当弯矩法进行轴的强度计算以及刚度计算;能够根据各种具体应用场合进行轴的结构设计。 2.了解齿轮传动机构的特点、应用及类型;了解齿轮传动五种失效形式的特点、形成机理及预防或减轻损伤的措施;熟练掌握齿轮传动的受力分析;理解载荷系数的意义及影响因素;掌握直齿、斜齿圆柱齿轮传动的齿面接触疲劳强度计算和齿根弯曲疲劳强度计算的基本理论、公式中各参数和系数的意义及确定方法。 3.了解摩擦的种类及其性质;了解滑动轴承的类型和结构特点;熟练掌握非流体摩擦滑动轴承的设计方法。

电子设计大赛常用电路图

错误 !未定义书签。 图2 L293D 的电机驱动电路 图3 电源稳压电路 图4 降压电路

图3 降压斩波电路原理图 图4 电流检测模块

OS CI ICE_SDA ICE_SCK ICE_EN AV SS1OP I AGC M ICOUT DA C2DA C IOB12IOB11IOB15IOB13SLE EP IOB14VS S IOA12IOA14IOA11IOA10IOA15IOA13I O B 9I O B 10IOA9 I O B 5I O B 8I O B 7V C P I O A 8 V D D H I O A 6I O A 7V S S VS S V D D H VS S V R T A V S S 1 V D D _P I O B 2V C M I O A 3I O B 6I O B 1I O A 1V M I C I O B 0I O A 2M I C P R E S _B I O B 4 I O A 4 I O B 3I O A 0I O A 5VREF2V S S V D D H SPCE061A DA C1M ICN AV SS1VDD VS S VS S VS S OS CO +C29100u C31104 U1 OS C32O 12OS C32I 13XT EST 14VDD 15XICE 16XICECLK 17XICES DA 18VS S 19PV IN 20DA C121DA C222VREF223VS S 24AGC 25OP I 26M ICOUT 27M ICN 28PFUSE 29M I C P 33V C M 34V R T P A D 35V D D 36V M I C 37V S S 38I O A 041I O A 142I O A 243I O A 344I O A 445I O A 546I O A 647I O A 748V S S 49V S S 50V D D H 51V D D H 52I O A 8 53 N C 39N C 40NC 30NC 31NC 32 IOA9 54 IOA1055IOA1156IOA1257IOA1358IOA1459IOA1560XROM T 61VS S 62XS LEEP 63IOB1564IOB1465IOB1366IOB1267IOB1168PV PP 69V D D H 75 I O B 1076I O B 977NC 70NC 71NC 72NC 73NC 74I O B 878I O B 779I O B 680I O B 581I O B 41I O B 32I O B 23N C 82N C 83N C 84I O B 14I O B 05X R E S B 6V D D 7V C P 8V S S 9N C 10N C 11C8104C7104C18104 +C5 100u C28104 + C27100u +C17100u + C4100u V D D _A SPCE061A 芯片引脚电路图 电机驱动电路 图5 电源变换电路图

电子电路实验报告

.东南大学电工电子实验中心 实验报告 课程名称:电子电路实践 第三、四次实验 实验名称:单级低频电压放大器 院(系):专业: 姓名:学号: 实验室:105 实验组别:无 同组人员:无 实验时间:2012年4月15日2012年4月22日评定成绩:审阅老师:

实验目的: 1、掌握单级放大电路的工程估算、安装和调试 2、了解三极管各项基本器件参数、工作点、偏置电路、输入阻抗、输出阻抗、增益、幅频 特性等的基本概念以及测量方法 3、掌握基本的模拟电路的故障检查和排除方法,深化示波器、稳压电源、交流电压表、 函数发生器的使用技能训练 三、预习思考 1、器件资料: 上网查询本实验所用的三极管9013的数据手册,画出三极管封装示意图,标出每个管 将其扁平的一面正对自己,管脚朝下,则从左至右三个管脚依次为e,b,c;封装图如下:

2、 偏置电路: 教材图1-3中偏置电路的名称是什么,简单解释是如何自动调节BJT (半导体三极管)的电流I C 以实现稳定直流工作点的作用的,如果R 1 、R 2取得过大能否再起到稳定直流工作点的作用,为什么? 答: 共发射极偏置电路。 利用12,R R 构成的分压器给三极管基极b 提供电位B U ,又1 BQ I I ,基极电位B U 可近 似地由下式求得:2 12 B C C R U V R R ≈ ?+ 当环境温度升高时,)(CQ EQ I I 增加,电阻E R 上的压降增大,由于基极电位B U 固定,加到发射结上的电压减小,BQ I 减小,从而使CQ I 减小,通过这样的自动调节过程使CQ I 恒定,即实现了稳定直流工作点的作用。 如果12,R R 取得过大,则1I 减小,不能满足12,R R 支路中的电流1 BQ I I 的条件,此时, BQ V 在温度变化时无法保持不变,也就不能起到稳定直流工作点的作用。 3、 电压增益: (I) 对于一个低频放大器,一般希望电压增益足够大,根据您所学的理论知识,分析有 哪些方法可以提高电压增益,分析这些方法各自优缺点,总结出最佳实现方案。 答: 0()() 26(1) C L C L u i be b CQ u R R R R A mV u r r I βββ= =-=- ++ 所以提高电压增益的方法有: 1)增大集电极电阻R C 和负载R L 。缺点:R C 太大,受V CC 的限制,会使电路不能正常工作。 2)Q 点适当选高,即增大I CQ 。缺点:电路耗电大、噪声大 3)选用多级放大电路级联形式来获取足够大的电压增益。缺点:电路较复杂,输出信

北航轴的结构设计改错——机械设计基础

机械设计基础 ——轴的结构设计改错一、指出图中结构不合理之处,并改正。 1、 答案: 1)左端轴承处的弹性挡圈去掉。 2)右端轴承处轴肩过高,应改为低于轴承内圈。 3)齿轮右端用轴套固定,与齿轮配合的轴头长度应小短于齿轮轮毂宽度。 4)左端轴承处应有越程槽。 5)联轴器没固定,左端应改为轴肩固定。 6)右端轴承改为轴套定位。 7)与齿轮配合处的键槽过长,应短于其轮毂宽度。 8)齿轮应改为腹板式结构。 9) 将联轴器的周向固定,改为键联接。 2、

主要结构错误: 1)与齿轮处键槽的位置不在同一母线上;2)端盖孔与轴径间无间隙; 3)左轴承端盖与箱体间无调整密封垫片;4)轴套超过轴承内圈定位高度; 5)三面接触,齿轮左侧轴向定位不可靠;6)键顶部与齿轮接触; 7)无挡油盘; 8)两轴承端盖的端面处应减少加工面。3、

1)轴承内外圈剖面线方向不一致,应改为方向一致; 2)左端轴承用轴肩定位,且轴肩不高于轴承内圈; 3)齿轮没有轴向固定,改为左端用轴环,右端用轴套固定;4)与左端轴承配合的轴段上应有砂轮越程槽; 5)联轴器没有轴向定位,应必为用轴肩定位; 6)右端轴承改为加大定位和固定,且低于轴承内圈; 7)与齿轮配合的轴段应有键槽; 8)齿轮改为腹板式结构性 9)轴的右端键槽过长,改为短于联轴器的孔的长度。 4、 答案: 1)左边轴肩高于轴承内圈; 2)与齿轮配轴段太长,齿轮轴向未定位; 3)齿轮与轴承间缺套筒; 4)右边轴肩过高超过轴承内圈; 5)右端盖与轴接触; 6)右端盖处缺少密封圈; 7)皮带轮周向定位缺键槽;; 8)皮带轮孔未通。

答案: 此轴系有以下6个方面13处错误: 1)轴承类型配用不合适: 左轴承为角接触轴承,角接触轴承不能单个使用; 2)转动件与静止件直接接触: 轴身与右端盖之间无间隙; 3)轴上零件未定位、未固定: 套筒未可靠的固定住齿轮; 联轴器轴向未固定; 联轴器周向未固定; 4)工艺不合理: 轴外伸端无轴肩,轴承不易装; 装轴承盖箱体的加工面与非加工面没有分开; 轴承与轴承座之间无调整垫片,轴承的轴向间隙无法调整; 轴上的键槽过长; 左轴承处轴肩过高,轴承无法拆卸; 5)润滑与密封问题: 轴承脂润滑而无挡油环; 端盖上无密封件; 6)制图投影错误 箱体孔投影线未画

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

电子电路综合实验报告

电子电路综合实验报 课题名称:简易晶体管图示仪 专业:通信工程 班级: 学号: 姓名: 班内序号:

一、课题名称: 简易晶体管图示仪 二、摘要和关键词: 本报告主要介绍简易晶体管的设计实现方法,以及实验中会出现的问题及解决方法。给出了其中给出了各个分块电路的电路图和设计说明,功能说明,还有总电路的框图,电路图,给出实验中示波器上的波形和其他一些重要的数据。在最后提到了在实际操作过程中遇到的困难和解决方法,还有本次实验的结论与总结。 方波、锯齿波、阶梯波、特征曲线。 三、设计任务要求: 1. 基本要求:⑴设计一个阶梯波发生器,f≥500Hz,Uopp≥3V,阶数N=6; ⑵设计一个三角波发生器,三角波Vopp≥2V; ⑶设计保护电路,实现对三极管输出特性的测试。 2. 提高要求:⑴可以识别NPN,PNP管,并正确测试不同性质三极管; ⑵设计阶数可调的阶梯波发生器。 四、设计思路: 本试验要求用示波器稳定显示晶体管输入输出特性曲线。我的设计思路是先用NE555时基振荡器产生的方波和带直流的锯齿波。然后将产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿的个数,实验中利用它的三位输出为多路开关CD4051提供地址。CD4051是一个数据选择器,根据16进制计数器74LS169给出的地址进行选择性的输出,来输出阶梯波,接入基极。由双运放LF353对NE555产生的锯齿波进行处理,产生符合要求的锯齿波作为集电极输入到三极管集电极。最后扫描得到NPN的输出特性曲线。总体结构框图:

五、分块电路和总体电路的设计: ⑴用NE555产生方波及锯齿波,电路连接如下。 图2.方波产生电路 NE555的3口产生方波,2口产生锯齿波,方波振荡器周期T=3 R1+R2 C1,占空比D= R1+R2 /(R1+2R2),为使阶梯波频率足够大,选C1=0.01uF,同时要产生锯齿波,方波的占空比应尽量大,当R1远大于R2时,占空比接近1,选R1为20kΩ,R2为100Ω。 ⑵阶梯波电路: 用NE555时基振荡器产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿得个数,实验中利用它的三位输出为多路开关CD4051的输入Qa、Qb、Qc提供地址。直流通路是由5个100Ω的电阻组成的电阻分压网络以产生6个不同的电压值,根据16进制计数器74LS169给出的地址进行选择性的输出,而它的管脚按照一定的顺序接入5个等值电阻然后在第一个电阻接入5V 的电压,原本是管脚接7个电阻可以产生8阶阶梯波,将三个管脚短接,即可产生6阶,这里选择了4,2,5接地,使输出为6阶阶梯波,以满足基本要求中的阶梯波幅度大于3V的要求。另一路信号通道的输入则接被显示的信号;通过地址信号Qa、Qb、Qc对两回路信号同步进行选通。这样,用示波器观察便可得到有6阶的阶梯波。 仿真时在Multisim上没有现成元件CD4051,这里选择了与它功能相近的8通道模拟多路复用器ADG528F代替。它是根据A1、A2、A3口的输入来选择输出S1-S8中各路电压值。

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

电子电路设计的一般方法和步骤

电子电路设计的一般方法与步骤 一、总体方案的设计与选择 1.方案原理的构想 (1)提出原理方案 一个复杂的系统需要进行原理方案的构思,也就是用什么原理来实现系统要求。因此,应对课题的任务、要求和条件进行仔细的分析与研究,找出其关键问题是什么,然后根据此关键问题提出实现的原理与方法,并画出其原理框图(即提出原理方案)。提出原理方案关系到设计全局,应广泛收集与查阅有关资料,广开思路,开动脑筋,利用已有的各种理论知识,提出尽可能多的方案,以便作出更合理的选择。所提方案必须对关键部分的可行性进行讨论,一般应通过试验加以确认。 (2)原理方案的比较选择 原理方案提出后,必须对所提出的几种方案进行分析比较。在详细的总体方案尚未完成之前,只能就原理方案的简单与复杂,方案实现的难易程度进行分析比较,并作出初步的选择。如果有两种方案难以敲定,那么可对两种方案都进行后续阶段设计,直到得出两种方案的总体电路图,然后就性能、成本、体积等方面进行分析比较,才能最后确定下来。 2.总体方案的确定 原理方案选定以后,便可着手进行总体方案的确定,原理方案只着眼于方案的原理,不涉及方案的许多细节,因此,原理方案框图中的每个框图也只是原理性的、粗略的,它可能由一个单元电路构成,亦可能由许多单元电路构成。为了把总体方案确定下来,必须把每一个框图进一步分解成若干个小框,每个小框为一个较简单的单元电路。当然,每个框图不宜分得太细,亦不能分得太粗,太细对选择不同的单元电路或器件带来不利,并使单元电路之间的相互连接复杂化;但太粗将使单元电路本身功能过于复杂,不好进行设计或选择。总之,

应从单元电路和单元之间连接的设计与选择出发,恰当地分解框图。 二、单元电路的设计与选择 1.单元电路结构形式的选择与设计 按已确定的总体方案框图,对各功能框分别设计或选择出满足其要求的单元电路。因此,必须根据系统要求,明确功能框对单元电路的技术要求,必要时应详细拟定出单元电路的性能指标,然后进行单元电路结构形式的选择或设计。 满足功能框要求的单元电路可能不止一个,因此必须进行分析比较,择优选择。 2.元器件的选择 (1)元器件选择的一般原则 元器件的品种规格十分繁多,性能、价格和体积各异,而且新品种不断涌现,这就需要我们经常关心元器件信息和新动向,多查阅器件手册和有关的科技资料,尤其要熟悉一些常用的元器件型号、性能和价格,这对单元电路和总体电路设计极为有利。选择什么样的元器件最合适,需要进行分析比较。首先应考虑满足单元电路对元器件性能指标的要求,其次是考虑价格、货源和元器件体积等方面的要求。 (2)集成电路与分立元件电路的选择问题 随着微电子技术的飞速发展,各种集成电路大量涌现,集成电路的应用越来越广泛。今天,一块集成电路常常就是具有一定功能的单元电路,它的性能、体积、成本、安装调试和维修等方面一般都优于由分立元件构成的单元电路。 优先选用集成电路不等于什么场合都一定要用集成电路。在某些特殊情况,如:在高频、宽频带、高电压、大电流等场合,集成电路往往还不能适应,有时仍需采用分立元件。另外,对一些功能十分简单的电路,往往只需一只三极管或一只二极管就能解决问题,就不必选用集成电路。

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

北航机械设计课程设计设计计算说明书

机械设计课程设计 计算说明书 设计题目带式运输机传动装置设计I ****学院(系)****班 设计者 sc 指导老师 *** 2017年5月12日 (北京航空航天大学)

前言 本设计为机械设计基础课程设计的内容,是先后学习过画法几何、机械原理、机械设计、工程材料、加工工艺学等课程之后的一次综合的练习和应用。本设计说明书是对带式运输机传动装置设计I的说明,该传动装置使用广泛,本次设计是使用已知的使用和安装参数自行设计机构具体尺寸、选择材料、校核强度,并最终确定形成图纸的过程。通过设计,我们回顾了之前关于机械设计的课程,并加深了对很多概念的理解,并对设计的一些基本思路和方法有了初步的了解和掌握。

目录 前言 ............................................... 错误!未定义书签。机械零件课程设计任务书 ............................. 错误!未定义书签。 一、题目:带式运输机传动装置设计................ 错误!未定义书签。 二、设计任务.................................... 错误!未定义书签。 三、具体作业.................................... 错误!未定义书签。主要零部件的设计计算 ............................... 错误!未定义书签。 一、传动方案的确定.............................. 错误!未定义书签。 二、电动机的选择、传动系统的运动和动力参数...... 错误!未定义书签。 1.电动机的选择............................. 错误!未定义书签。 2.传动比分配............................... 错误!未定义书签。 3.各级传动的动力参数计算................... 错误!未定义书签。 4.将运动和动力参数计算结果进行整理并列于下表错误!未定义书签。 三、传动零件的设计、计算........................ 错误!未定义书签。 带传动的设计................................ 错误!未定义书签。 2.带的参数尺寸列表......................... 错误!未定义书签。 3.减速器齿轮(闭式、斜齿圆柱齿轮)设计..... 错误!未定义书签。 四、轴的设计与校核.............................. 错误!未定义书签。 1.I轴的初步设计........................... 错误!未定义书签。 2.I轴强度校核............................. 错误!未定义书签。 3.II轴的初步设计.......................... 错误!未定义书签。 4.II轴强度校核............................ 错误!未定义书签。 五、键联接的选择与校核.......................... 错误!未定义书签。 1.I轴外伸端处键联接....................... 错误!未定义书签。 2.II轴与大齿轮配合处键联接................ 错误!未定义书签。

北航17系光电子实验报告实验5讲解

光电子技术实验报告

实验五光电池特性实验 一.实验目的: 1.学习掌握硅光电池的工作原理。 2.学习掌握硅光电池的基本特性。 3.掌握硅光电池基本特性测试方法。 二.实验原理: 光电池是一种不需要加偏置电压就能把光能直接转换成电能的PN结光电器件,按光电池的功用可将其分为两大类:即太阳能光电池和测量光电池,本仪器用的是测量用的硅光电池,其主要功能是作为光电探测,即在不加偏置的情况下将光信号转换成电信号。 图(20)图(21)如图(20)所示为2DR型硅光电池的结构,它是以P型硅为衬底(即在本征型硅材料中掺入三价元素硼或镓等),然后在衬底上扩散磷而形成N型层并将其作为受光面。如图(21)所示当光作用于PN结时,耗尽区内的光生电子与空穴在内建电场力的作用下分别向N区和P区运动,在闭合电路中将产生输出电流IL,且负载电阻RL上产生电压降为U。显然,PN结获得的偏置电压U与光电池输出电流IL与负载电阻RL有关,即U=IL?RL,当以输出电流的IL为电流和电压的正方向时,可以得到如图(22)所示的伏安特性曲线。

图(22)图(23)光电池在不同的光强照射下可以产生不同的光电流和光生电动势,硅光电池的光照特性曲线如图(23)所示,短路电流在很大范围内与光强成线性关系,开路电压随光强变化是非线性的,并且当照度在2000lx时就趋于饱和,因此,把光电池作为测量元件时,应把它当作电流源来使用,不宜用作电压源。 硒光电池和硅光电池的光谱特性曲线如图(25)所示,不同的光电池其光谱峰值的位置不同,硅光电池的在800nm附近,硒光电池的在540nm附近,硅光电池的光谱范围很广,在450~1100nm之间,硒光电池的光谱范围为340~750nm。 图(24)图(25)光电池的温度特性主要描述光电池的开路电压和短路电流随温度变化的情况,由于它关系到应用光电池设备的温度漂移,影响到测量精度或控制精度等主要指标,光电池的温度特性如图(24)所示。开路电压随温度升高而下降的速度较快,而短路电流随温度升高而缓慢增加,因此,当使用光电池作为测量元件时,在系统设计中应考虑到温度的漂移,并采取相应的措施进行补偿。 三.实验所需部件: 两种光电池、各类光源、实验选配单元、数字电压表(4 1/2位)自备、微安表(毫安表)、激光器、照度计(用户选配)。

从EMC角度考虑常用电路设计及PCB设计

从EMC角度考虑常用电路设计及PCB设计 A.电源电路 电源电路设计中,功能性设计主要考虑温升和纹波大小。温升大小由结构 很关键:大电容一般采用低ESR电容,小电容采用0.1UF和1000pF共用。电源电路设计中,电磁兼容设计是关键设计。主要涉及的电磁兼容设计有:传导发射和浪涌。 传导发射设计一般采用输入滤波器方式。外部采购的滤波器内部电路一般采用下列电路: Cx1和Cx2为X电容,防止差模干扰。差模干扰大时,可增加其值进行抑制;Cy1和Cy2为Y电容,防止共模干扰。共模干扰大时,可增加其值进行抑制。需要注意的是,如自行设计滤波电路,Y电容不可设计在输入端,也不可双端都加Y电容。 浪涌设计一般采用压敏电阻。差模可根据电源输入耐压选取;共模需要电源输入耐压和产品耐压测试综合考虑。 当浪涌能量大时,也可考虑压敏电阻(或TVS)与放电管组合设计。

1 电源输入部分的EMC设计 应遵循①先防护后滤波;②CLASS B规格要求的电源输入端推荐两级滤波电路,且尽量靠近输入端;③在电源输入端滤波电路前和滤波电路中无采样电路和其它分叉电路;如果一定有采样电路,采样电路应额外增加了足够的滤波电路。 原因说明: ①先防护后滤波: 第一级防护器件应在滤波器件之前,防止滤波器件在浪涌、防雷测试中损坏,或导致滤波参数偏离,第二级保护器件可以放在滤波器件的后面;选择防护器件时,还应考虑个头不要太大,防止滤波器件在PCB布局时距离接口太远,起不到滤波效果。 ②CLASS B规格要求的电源输入端推荐两级滤波电路,且尽量靠近输入端:CLASSB要求比CLASS A要求小10dB,即小3倍,所以应有两级滤波电路; CLASSA规格要求至少一级滤波电路;所谓一级滤波电路指包含一级共模电感的滤波电路。

电子线路设计与制作实验报告

电子线路设计与制作 实验报告 班级:电信12305班 指导老师:朱婷 小组成员:张壮安剑锋罗杰杨康熊施任务分工:1.张壮实验报告的撰写 2.安剑锋检查元件及整理 3.罗杰电路的焊接 4.杨康元器件的保管及测试 5.熊施协助电路的焊接 2014年11月14日

项目一:红外线电路设计 一、电路工作原理 常用的红外线遥控系统一般分发射和接收两个部分。发射部分的主要元件为红外发光二极管。它实际上是一直特殊的发光二极管,由于其内部材料不同于普通发光二极管,因而在其两端施加一定电压时,它便发出的红外线而不会死可见光。 接收部分的红外接收管是一种光敏二极管。在实际应用中要给红外线接收二极管加反向偏压,它才能正常工作,亦即红外线接收二极管在电路中应用时是反向运用,这样才能获得较高的灵敏度。红外线二极管一般有圆形和方形两种。 二、电路原理图设计

课题名称元件数量备注 红外线发射——接收模拟 电路红外线发射管 1 红外线接收管 1 发光二极管 1 运放uA741 1 20K可调电位器 1 100Ω电阻 1 10kΩ电阻 1 330Ω电阻 1 元件清单表 三、电路设计与调试 (1)各小组从指导老师那里领取元器件,分工检测元器件的性能。(2)依据电路原理图,各小组讨论如何布局,最后确定一最佳方案在洞洞板上搭建红外线发射\接收电路图。 (3)检查电路无误后,从信号发生器送入适应电压。 (4)调节可调电阻R3的阻值,观察发光二极管LED是否出现闪烁现象,如果出现说明有发射和接收,如果没有检查电路。(5)实验完毕,记录结果,并写实验报告。

四、实验注意事项 (1)发光二极管的电流不能天大(小于200mA);(2)在通电前必须检查电路无误后才可; (3)信号发生器的输出电压峰峰值1.5~2.5V。 项目二:定时电路的设计一、电路原理图与工作原理

相关文档
最新文档