电容测量仪设计说明书模板
简易电阻、电容和电感测试仪设计说明

课程设计任务书学生:专业班级:指导教师:工作单位:信息工程学院题目: 简易电阻、电容和电感测试仪设计初始条件:LM317 LM337NE555 NE5532STC89C52 TLC549 ICL7660 1602液晶要求完成的主要任务:1、测量围:电阻 100Ω-1MΩ;电容 100pF-10000pF;电感 100μH-10mH。
2、测量精度:5%。
3、制作1602液晶显示器,显示测量数值,并用发光二级管分别指示所测元件的类别。
时间安排:指导教师签名:年月日系主任(或责任教师)签名:__________ 年月日目录摘要 (3)ABSTRACT (4)1、绪论 (5)2、电路方案的比较与论证 (5)2.1电阻测量方案 (5)2.2电容测量方案 (7)2.3电感测量方案 (8)3、核心元器件介绍 (10)3.1LM317的介绍 (10)3.2LM337的介绍 (11)3.3NE555的介绍 (11)3.4NE5532的介绍 (13)3.5STC89C52的介绍 (14)3.6TLC549的介绍 (16)3.7ICL7660的介绍 (17)3.81602液晶的介绍 (18)4、单元电路设计 (20)4.1直流稳压电源电路的设计 (21)4.2电源显示电路的设计 (21)4.3电阻测量电路的设计 (22)4.4电容测量电路的设计 (23)4.5电感测量电路的设计 (24)4.6电阻、电容、电感显示电路的设计 (25)5、程序设计 (26)5.1中断程序流程图 (26)5.2主程序流程图 (27)6、仿真结果 (27)6.1电阻测量电路仿真 (27)6.2电容测量电路仿真 (28)6.3电感测量电路仿真 (28)7、调试过程 (29)7.1电阻、电容和电感测量电路调试 (29)7.2液晶显示电路调试 (29)8、实验数据记录 (30)心得体会 (31)参考文献 (32)附件 (33)附件1:电路图 (33)附件2:元件清单 (34)附件3:程序代码 (35)附件4:实物图 (45)摘要近几年来,电子行业的发展速度相当快,电子行业的公司企业数目也不断增多。
电容测量仪毕业设计

1 设计任务描述1.1设计题目:数字电容测量仪1.2 设计要求1.2.1 设计目的(1)掌握电容数字测量仪的构成、原理与设计方法;(2)熟悉集成电路的使用方法。
1.2.2 基本要求(1)被测电容范围为100pF到1uF;(2)把电容量通过电路转换为时间量/电压量进行测量;(3)设计振荡器及分频系统;(4)可控制的计数、锁存、译码、显示系统1.2.3 发挥部分(1)测量电容范围为100pF到1000uF;(2)超量程报警系统;2 设计思路电容数字测量仪是对电容大小的测量,首先要做的是将待测电容的大小转换为一个固定的频率,频率的大小只与电容的大小有关,为了实现这一功能,我采用了CMOS门电路组成的微分型单稳态触发器来实现,由它产生固定频率输出。
由555定时器组成的多谐振荡电路其实是一个可以产生标准频率的电路,用来衡量由待测电容容量转换器件输出的输出的频率。
通过计算,我选用了一个1MHZ的发生器。
这样便可以清除的衡量上面电路的频率。
这是电路的第二部分。
第三部分是由6个异步十进制计数器74LS161组成的分频器用以辨别频率第四部分是由计数器锁存器译码器和显示器组成的显示系统,信号传过来开始记数锁存器同时开始锁存,锁存后传给译码器,译码后开始显示。
此外,我还加入了一个报警系统,通过数值比较器对数值比较,当被测电容的值超过量程时,比较电路会给报警电路一个脉冲信号触发报警电路工作,扬声器就会发出声音报警。
3设计方框图4.2 计数器74290是由4个负边沿JK 触发器组成,2个与非门作置0和置9控制门。
其中91S 、92S 称为直接置0端,0CP 、1CP 为计数脉冲输入端,3210Q Q Q Q 为输出端。
4.3 寄存器寄存器选择74273八D 型触发器,可控制是否输入CP 来控制存储。
另外再在各计数器(十位个位)与各寄存器后接上一个驱动器(74245八路缓冲三态双向收发驱动),驱动其是否对译码器输入信号,可控制驱动读取计数器或寄存器上的数据。
课程设计-简易电容测量仪

简易电容测试仪一、系统简介本文设计了一套简易电容系统,能够在误差允许的范围内测量普通电容的容值,并在液晶界面上显示相关信息。
二、系统实现原理系统分模拟部分和数字部分。
模拟部分是由集成运放结电阻和所测电容构成的方波发生器,产生的方波频率与所测试的电容具有函数关系。
因此只要知道产生方波的频率,就能反推出电容的容值。
此外,在方波发生器后面我们添加稳压电路和半波整形电路,使得方波的输入幅度在5V 以下且为正值,而不改变方波的频率。
数字部分是FPGA 作为主控的,负责频率的测量以及液晶的显示。
下面介绍测量频率的原理。
FPGA 测量频率有两种算法,就是常说的测频和测周。
测频是在一段闸门时间内对输入信号周期进行计数,而测周则相反,是在输入信号的时段内,对标准信号周期进行计数。
一般的原则是,高频测频,低频测周。
本系统用的是测频的方法。
我们可以用低频时钟的两个上升沿之间的那段时间作为闸门,对上升沿时间内输入信号周期进行计数。
最后通过计算得到频率。
显示部分我们使用1602液晶屏,通过FPGA 状态机驱动显示。
三、系统结构框图四、硬件电路设计 方波发生器 整形电路 FPGA LCD 显示图示电路产生方波的频率符合f=12R1Cln(1+2R3R2)的关系式,其中C为待测电容。
而且经稳压电路和半波整流电路后的幅度为0~3.3V,能直接输入给FPGA,符合要求。
五、程序设计//顶层文件//top.v`include “cepin.v”`include ”1602.v”module top(clkin,datain,rst,clkout,cnt,fre,rem,clk_LCD, LCD_EN,RS,RW,DB8);fre m1(.clkin(clkin),.datain(datain),.clkout(clkout),.cnt(cnt),.fre(fre),.rem(rem)); LCD_Driver m2(.clkin(clkin), .rst(rst),.fre( fre), .clk_LCD(clk_LCD),.LCD_EN (LCD_EN),.RS(RS),.RW(RW),.DB8(DB8));endmodule//测频模块://cepin.vmodule fre(clkin,datain,clkout,cnt,fre,rem);input clkin; //100Minput datain;output reg clkout = 1'b1;output reg [7:0] cnt = 8'b0;output wire [7:0] fre;output wire [7:0] rem;parameter N=100000; //1khzreg [1:0] datatmp = 0; //不初始化计数会仿真错误reg [15:0] clktmp = 0;reg [7:0] cnttmp = 0;//分频always @(posedge clkin)beginif(clktmp == N/2-1)beginclkout <= ~clkout;clktmp <= 16'b0;endelseclktmp <= clktmp+1'b1;end//拼接符号检测上升沿always @(posedge datain)datatmp = {clkout,datatmp[1]}; //捕获沿的方法//对慢时钟上升沿间的输入信号计数,为高频测频always @(posedge datain)beginif(datatmp == 2'b10) //上升沿begincnt <= cnttmp+1'b1; //加1补偿cnttmp <= 8'b0;endelsecnttmp <= cnttmp +1'b1;end//调用除法核计算电容,参数确定,公式确定LPM_DIVIDa m(.denom(…),.numer(…),.quotient(…),.remain(…)); //……endmodule//显示模块//1602.vmodule LCD_Driver(clkin, rst, fre, clk_LCD,LCD_EN,RS,RW,DB8);input clkin,rst,fre; //rst为全局复位信号(高电平有效)output clk_LCD;output LCD_EN,RS,RW;//LCD_EN为LCD模块的使能信号(下降沿触发)//RS=0时为写指令;RS=1时为写数据//RW=0时对LCD模块执行写操作;RW=1时对LCD模块执行读操作output [7:0] DB8; //8位指令或数据总线reg [7:0] DB8;reg [111:0] Data_First_Buf,Data_Second_Buf; //液晶显示的数据缓存reg RS,LCD_EN_Sel;reg [3:0] disp_count; //用来判断是否已经写满一行reg [3:0] state; //状态机格式//状态机编码parameter Clear_Lcd = 4'b0000, //清屏并光标复位Set_Disp_Mode= 4'b0001, //设置显示模式:8位2行5x7点阵Disp_On =4'b0010, //显示器开、光标不显示、光标不允许闪烁Shift_Down =4'b0011, //文字不动,光标自动右移Write_Addr =4'b0100, //写入显示起始地址Write_Data_First= 4'b0101, //写入第一行显示的数据Write_Data_Second= 4'b0110, //写入第二行显示的数据Idel =4'b0111; //空闲状态parameter Data_First = "频率", //液晶显示的第一行的数据//Data_Second = “” ; //液晶显示的第二行的数据assign RW = 1'b0; //RW=0时对LCD模块执行写操作assign LCD_EN = LCD_EN_Sel ? clk_LCD : 1'b0; //通过LCD_EN_Sel信号来控制LCD_EN的开启与关闭//省去分频部分//……always @(posedge clk_LCD or negedge rst)beginif(!rst)beginstate <=Clear_Lcd; //复位:清屏并光标复位RS <=1'b0; //复位:RS=0时为写指令;DB8 <=8'b0; //复位:使DB8总线输出全0LCD_EN_Sel<= 1'b1; //复位:开启夜晶使能信号disp_count<= 4'b0;endelsecase(state) //初始化LCD模块Clear_Lcd:beginstate <=Set_Disp_Mode;DB8 <=8'b00000001; //清屏并光标复位endSet_Disp_Mode:beginstate <=Disp_On;DB8 <=8'b00111000; //设置显示模式:8位2行5x8点阵endDisp_On:beginstate <=Shift_Down;DB8 <=8'b00001100; //显示器开、光标不显示、光标不允许闪烁endShift_Down:beginstate <=Write_Addr;DB8 <=8'b00000110; //文字不动,光标自动右移endWrite_Addr:beginstate <=Write_Data_First;DB8 <=8'b10000001; //写入第一行显示起始地址:第一行第二个位置Data_First_Buf<= Data_First; //将第一行显示的数据赋给Data_First_Buf endWrite_Data_First: //写第一行数据beginif(disp_count== 14) //disp_count等于14时表示第一行数据已写完beginDB8 <=8'b11000001; //送入写第二行的指令RS <=1'b0;disp_count<= 4'b0;//Data_Second_Buf<= Data_Second;Data_Second_Buf<= fre;state <=Write_Data_Second; //写完第一行进入写第二行状态endelsebeginDB8 <=Data_First_Buf[111:104];Data_First_Buf<= (Data_First_Buf << 8);RS <=1'b1; //RS=1表示写数据disp_count<= disp_count + 1'b1;state <=Write_Data_First;endendWrite_Data_Second: //写第二行数据beginif(disp_count == 14)beginLCD_EN_Sel<= 1'b0;RS <=1'b0;disp_count<= 4'b0;state <=Idel; //写完进入空闲状态endelsebeginDB8 <=Data_Second_Buf[111:104];Data_Second_Buf<= (Data_Second_Buf << 8);RS <=1'b1;disp_count<= disp_count + 1'b1;state <=Write_Data_Second;endendIdel:beginstate <=Idel; //在Idel状态循环enddefault: state <= Clear_Lcd;//若state为其他值,则将state置为Clear_Lcd endcaseendendmodule六、测试验证方法在方波发生电路的电容处设计为插孔式,能插上不同容值的电容。
【产品手册】JY6701电容电流测试仪使用手册word资料11页

JY6701电容电流测试仪操作手册目录一、概述 (1)二、技术指标 (1)三、面板介绍 (2)四、测量原理 (2)五、中性点种类 (4)六、使用步骤 (5)七、安全事项 (9)八、中性点电压的处理 (9)九、仪器自检 (10)十、仪器成套 (9)十一、售后服务 (10)使用本仪器前,请仔细阅读操作手册,保证安全是用户的责任本手册版本号:JY6.28-2010本手册如有改动,恕不另行通知。
全自动电容电流测试仪一、概述我国的电力规程规定当10kV和35kV系统电容电流分别大于30A和10A时,应装设消弧线圈以补偿电容电流,这就要求对配网电容电流进行测量以做决定。
另外,配电网的对地电容和PT的参数配合会产生PT铁磁谐振过电压,为了验证该配电系统是否会发生PT谐振及发生什么性质的谐振,也必须准确测量配电网的对地电容值。
测量配网电容电流的方法有单相金属接地的直接法、外加电容间接测量法以及在PT开口三角形加异频信号等方法,但是,在现场最受欢迎和使用较频繁的还是使用中性点电容法。
本型号电容电流测试仪,采用中性点电容法原理测量配网的电容电流。
在做好安全措施后,在接触中性点前,先设置系统参数,然后则无需触碰操作仪器,使这项工作变得安全、简单且测试结果准确、可靠,不受其他运行条件影响,特别是系统不平衡的时候。
二、技术指标1、测量范围:对地总电容≤120μF(三相对地);电容电流≤100 A(35kv系统)电容电流≤200 A(6、10kv系统)2、测量精度:±5% (0.5μF<电容容量≤90μF);±10%(90μF<电容容量≤120μF)3、环境温度:-10~50℃;4、相对湿度:≤90%;5、工作电源:AC 220V ± 10% 50 Hz ± 1%;6、外形尺寸:320×200×150 mm;7、仪器重量:5 kg。
三、面板介绍图 1 仪器正面图 2 仪器侧面1:接地端2:打印机:打印测量数据和波形3:液晶屏4:中性点:通过电缆引致绝缘棒与变压器中性点相接触,测量位移电压信号。
电容测试仪

电容测试仪第1章方案设计1.1 设计要求1.1.1 设计任务设计一种能准确测量电容容量的简易数显式电容测试仪。
1.1.2 技术要求基本要求:1、测试仪量程范围至少在100PF-100μF之间;2、至少有两个测量量程; 测量范围可转换;3、用3位数码管显示测量结果。
1.2 设计方案及总体思路设计并制作一台数字显示的电容测试仪,示意框图如下:图1-1 总体框图总体思路: 本电容测试仪就是将待测电容转换为相应的脉冲,使该脉冲周期与标准脉冲成正比。
将该脉冲转换为门控信号,对标准脉冲进行计数,对计数输出进行译码用数码管显示结果,改变脉冲周期可得不同的量程。
第2章主要电路设计与说明2.1 TS556芯片简介2.1.1 TS555芯片简介555定时器是电子工程领域中广泛使用的一种中规模集成电路,它将模拟与逻辑功能巧妙地组合在一起,具有结构简单、使用电压范围宽、工作速度快、定时精度高、驱动能力强等优点。
555定时器配以外部元件,可以构成多种实际应用电路。
广泛应用于产生多种波形的脉冲振荡器、检测电路、自动控制电路、家用电器以及通信产品等电子设备中。
555定时器又称时基电路。
555定时器按照内部元件分有双极型(又称TTL 型)和单极型两种。
双极型内部采用的是晶体管;单极型内部采用的则是场效应管。
本设计中555定时器起着非常重要的作用,在电路图中 555与R7-R10,待测电容Cx组成待测电容容量-频率转换器,将待测电容Cx的容量转换成特定频率的脉冲,即组成图1-1中待测电容量频率转换器这一部分.为了对本电路图有更深的理解,现对它做具体分析以便更好地理解本设计原理图。
1.555引脚排列图图2-1 555引脚排列图TH:阈值输入端 TR:触发输入端CO:控制电压 OUT:输出端DIS:放电端 RD:清零端图2-2 555时基电路等效功能方框图2 555芯片的工作原理1/2TS556的等效功能框图(图2-2)中包含两个COMS电压比较器A和B,一个RS触发器,一个反相器,一个P沟道MOS场效应管构成的放电开关SW,三个阻值相等的分压电阻网络,以及输出缓冲级。
电容电感检测仪说明书

武汉市华天电力自动化有限责任公司电容电感检测仪说明书目录一、概述: ......................................(1)二、测量仪器特点:.............................(1)三、捡测参数项目:.............................(1)四、等效方式:.................................(1)五、仪器技术参数:.............................(2)六、测量范围、分辨率及误差值:.................(2)七、钳形表测量范围及误差(部件):..............(2)八、仪器工作原理:.............................(3)九、使用前的注意事项:.........................(3)十、解释测量结果的意义:.......................(3)十一、仪器面板及说明:.........................(4)十二、仪器接线方法:...........................(4)十三、仪器操作方法:............................(4)十四、:与电脑数据通讯............................(13)电容电感测试仪一、概述:电容电感测试仪主要是对无功补偿装置的高压并联电容组,以及电抗器的测量,其测量依据,符合SJ-255-10300电容测量仪国家标准。
针对变电站现场高压并联电容器组测量时存在的问题而专门研制,它主要解决了以下问题:▣现场测量电容器不需拆除连接线,减化试验过程、有效提高工作效率、避免损害电力设备;▣完整参数测量,极易判别电容器的品质变化,及器件间连接导体故障;▣大容量数据存储和USB通信,不需现场抄写数据,确保了测量数据完整;二、测量仪器特点:▣本仪器采用了先进的测量原理与四端测量技术,可以精确测量、测试重复性能好;▣大屏幕液晶显示屏(320X240点阵),汉字菜单提示操作;▣液晶屏幕自带触屏按键,使操作直观、简单;▣电流自动分段补偿,使全量程电流线性化,提高了仪器测量精度;▣波形和测量数据同时显示,使测试过程更直观;▣新一代USB通信功能简化与PC机连接,方便于测量数据传输和管理;▣本仪器有电流过载保护功能,防止电流过大损坏仪器或者设备;三、检测参数项目:四、等效方式:RC内部串、并等效电路图图中,Cx 为实际电容量,Rs 为引线电阻,Lo 为引线电感,Rp 为极间绝缘电阻,Co 为极间分布电容,实际电感、电容、电阻并非理想的电抗或电阻元件,而是以串联或并联形式呈现为一个复阻抗元件,本仪器根据串联或并联等效电路来计算其所需值,不同等效电路将得到不同的结果,其不同性取决于不同的元件。
TH2617电容测量仪使用手册

电力电容测试仪标准说明书

电力电容测试仪标准说明书目录一、概述 (1)二、技术参数 (1)三、仪器面板及说明 (3)四、接线方法 (4)五、仪器操作方法 (5)一、概述全自动电容电感测试仪是针对变电站现场测量电容器的电容值时存在的问题而专门研制的,它着重解决了以下问题:(1) 现场测量电容器需拆除连接线,不仅工作量大而且易损坏电容器;(2) 电容表输出电压低而导致故障检出率低。
该仪器具有测量工作量小、快捷简便、性能稳定、测量准确、故障检出率高等特点。
(3)测量电抗器电感量。
二、技术参数1、仪器测量范围及精度:a.电容测量(1)可测电容范围:0.01μF ~2,000μF;(2)可测容量范围:5 ~20,000kvar;(3)测量精度:±1.0%;(4)分辨率:0 ~1.999μF ±1.0%rdg;0 ~19.99μF ±1.0%rdg;0 ~199.9μF ±1.0%rdg;0 ~1999μF ±1.0%rdg;b.电流测量(1)电流测量范围:0~199.9mA;0~1.999A;0~19.99A;0~199.9A0~1000A;(2)测量精度:±1.0%;c.电感测量1mH~10H2、工作电源:a.额定电压:工频220V±10%;b.额定频率:50Hz;c.额定输出:25V/500V A;3、仪器的正常工作条件:a.环境温度:0℃~+40℃b.相对湿度:≤90%4、显示打印方式:液晶显示屏全汉字显示面板式高速打印机5、外形/ 重量:400×320×220 mm / 8 kg6、工作原理(如图1)该电容电感测试仪采用桥式电路结构,标准电容器和被试电容器作为桥式电路的两臂。
当进行电容器电容值测量时,测试电压同时施加在标准电容器和被试电容器上,处理器通过传感器同采集流过两者的电流信号并进行处理后得也被试电容器的电容值。
由于采用标准电容器、被试电容器同步采样技术,可不受电源电压波动的影响;加之测量过程是全自动进行的,避免了手动操作引起的误差,因此具有稳定性好、重复性好,准确可靠的特点。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电容测量仪设计说
明书
《单片机技术》课程设计说明书
电容测量仪
学院:电气与信息工程学院学生姓名:唐慧
指导教师:王韧职称副教授专业:电气工程及其自动化班级:电气1301班
学号:
完成时间: 06月
湖南工学院《单片机技术》课程设计课题任务书
学院:电气与信息工程学院专业:电气工程及其自动化
摘要
当前现代化电子市场正朝着快速及便利同时大容量的方向发展,现代电子产品几乎能运用到社会的各个领域当中,有力的推动了社会现代化的发展。
同时,电子产品也被要求以更快速度的升级和更快速的处理。
在电容测量仪系统硬件设计中,以AT89S52单片机为核心,使用对应的振荡电路转化为频率实现参数的测量。
电容是采用
555多谐振荡电路产生的,将振荡频率送入AT89S52的计数端端口,经过定时而且计数能够计算出被测频率,再经过该频率计算出被测参数,最后经过数码管显示测量值。
在电容测量仪系统软件设计中,使用C语言编程编写了运行程序;包括主程序模块、显示模块、电容测试模块。
同样,在维修人员在对电子产品的维修中,电路的检测是最基本的,有时需要检测电路中各个部件是否工作正常,电容器是否工作正常。
做出实物,经过实验测试,结果表明电容测量仪样机的功能和指标达到了设计要求。
关键词:555多谐振荡电路;单片机;三位数码管。