数电课设数字电子钟

合集下载

数电课设数字电子钟

数电课设数字电子钟

数字电路EDA课程设计报告专业:电气工程及其自动化班级:08级5班姓名:XXX 学号:XXXXXXXXXXX指导教师:XXXXX制作日期:2010.6.22多功能数字电子钟一、关键词1、24进制模块;2、60进制模块;3、baoshi模块;4、sdkz模块;5、xiaoshi模块。

二、内容摘要1、第三到第七条为设计多功能数字电子钟的过程及原理2、第八到十三条为设计多功能数字电子钟的心得体会以及参考资料3、十四为附加功能的V语言与仿真图形及说明三、总体方案及原理框图四、顶层逻辑电路的组成及简单的叙述如上图所示,顶层模块由一个BCD码转七段码模块,一个时钟模块以及一个分频电路组成。

下图为MaxPlus中顶层模块的截图左半部分为时钟模块和分频电路,右半部分为BCD码转七段码模块五、低层功能模块设计,逻辑抽象(定义 input 和output),简述逻辑电路原理,并要求附有*.gdf 和*.v文件及文件中语句注释1、24进制模块说明:由2块74161芯片组成的24进制计数器24进制的v语言描述说明:当H[7:0]=23时将其初始化为0;小时个位数为9时个位数清零,十位数自加1;个位数不为零时,自加12、60进制模块由2片74161组成的60进制模块60进制模块的v语言描述说明:M[7:0]=59时清零;个位数M[3:0]=9时,若十位数M[7:4]=5则清零,否则自加1;若个位数不为9,则个位数自加1。

进位信号为CP60M=~(M6 & M4 & M3 & M0),即59时进一位。

3、baoshi模块的v语言描述说明:报时的输入信号为M6、M4、M3、M0、S6、S4、S3、S0。

当M6=1、M4=1、M3=1、M0=1、S6=1、S4=1、S0=1即59分51秒、53秒、55秒、57秒时低音报时;S3=1时即59秒高音报时。

4、xiaoshi模块v语言描述说明:当SWM由1变为0时,分钟的计时信号有原本的CP60S 变为秒钟的计时信号CPS,达到校时的目的;当SWH由1变为0时,时钟的计时信号由CP60M变为秒钟计时信号CPS,达到校时目的;当SWH与SWM同为0时,分钟与时钟的计时信号不变。

(完整)数电课程设计数字电子钟的设计与制作

(完整)数电课程设计数字电子钟的设计与制作

(完整)数电课程设计数字电子钟的设计与制作编辑整理:尊敬的读者朋友们:这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望((完整)数电课程设计数字电子钟的设计与制作)的内容能够给您的工作和学习带来便利。

同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。

本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快业绩进步,以下为(完整)数电课程设计数字电子钟的设计与制作的全部内容。

一、设计目的数字电子技术是工科专业的一门专业基础课,该课程理论与实践联系密切,系统性强,课程设计是本课程教学中必不可少的环节,通过设计可以使学生初步掌握基本的数字电路设计方法和技能,进一步加深对数字电子技术课程的理解,掌握数字电子系统的组成和设计方法以及系统的调试方法,熟悉常用数字芯片的功能及使用方法,为后续课程的学习奠定坚实基础。

二、设计任务1、用给定的数字集成电路设计制作一个数字电子钟。

2、基本功能:具有时、分、秒计时功能,用六位数码管和LED显示“XX:XX:XX"(最大显示23:59:59),要求计时准确,能够调整时间。

除电源外其它部分均需自行设计制作。

3、扩展功能:有整点报时功能;时分秒之间的间隔符“:”按秒跳动。

三、设计要求基本要求:1、根据给定的器件设计电路,画出电路原理图,仿真实现所设计功能.2、制作实际电路并测试,用自己设计的秒脉冲源作计时脉冲,+5V电源由实验室提供。

要求制作工艺良好,电路能正常稳定工作。

3、写出设计总结报告,除报告封面和电路图可以打印外,其它内容均必须手写(复印、打印的一律不及格)。

扩展要求:完成扩展功能四、所需元器件及材料IC:CD4518三块、CD4040、CD4060、CD4081各一块、CD4543六块,DIP16IC插座12个;其他器件:共阴数码管(CL5011AH)6个,红色LED4个,石英晶振32768HZ一个,电阻220Ω44个,220K、10M各1个,51P瓷片电容2个,轻触开关4个,8针接插件3个,4针接插件1个,9cm*15cm万能板两块、红、黑色导线各1卷,黄、蓝色导线各2卷、焊锡2卷。

数字电路课程设计电子数字钟+闹铃

数字电路课程设计电子数字钟+闹铃

数字电路课程设计电子数字钟+闹铃数字电路课程设计院系:专业:电子信息工程姓名:学号:完成日期:2021 数字钟的设计一、系统功能概述、系统实现的功能:1、具有“时”、“分”、“秒”的十进制数字显示。

2、具有手动校时、校分、校秒的功能。

3、有定时和闹钟功能,能够在设定的时间发出闹铃声。

4、能进行整点报时。

从59分50秒起,每隔2秒发一次低音“嘟”的信号,连续5次,最后一次为高音“嘀”的信号。

、各项设计指标:1、显示部分采用的6个LED显示器,从高位至低位分别显示时、分、秒。

2、有一个设置调闹钟定时时间、正常时间的按钮,选择调的对象。

3、有三个按钮分别调时、分、秒的时间。

4、有一个按钮用作开启/关闭闹铃。

5、另外需要两个时钟信号来给系统提供脉冲信号,使时钟和闹钟正常工作,分别为1Hz、1kHz的脉冲。

二、系统组成以及系统各部分的设计 1、系统结构描述 //要求:系统结构描述,各个模块的功能描述;系统的顶层文件:1、顶层文件图:2、各模块的解释:、7个输入量clk_1khz、clk_1hz、key_slt、key_alarm、sec_set、min_set、hour_set:其中clk_1khz为闹铃模块提供时钟,处理后能产生“嘟”、“嘀”和变化的闹铃声音;clk_1hz为计时模块提供时钟信号,每秒计数一次;key_slt选择设置对象:定时或正常时间;key_alarm能够开启和关闭闹铃;sec_set、min_set、hour_set用于设置时间或定时,与key_slt 相关联。

各按键输出为脉冲信号。

、CNT60_A_SEC模块:这个模块式将clk_1hz这个时钟信号进行60进制计数,并产生一个分钟的触发信号。

该模块能将当前计数值实时按BCD码的格式输出。

将该输出接到两位LED数码后能时时显示秒的状态。

通过alarm_clk可以选择设置对象为时间还是定时值。

在设置时间模式上,key上的一个输入脉冲可以将clk的输入信号加一。

数电课设-数字钟

数电课设-数字钟

数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。

2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。

3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。

4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。

二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。

2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。

3、用LCD液晶屏来显示当前时间及功能模式。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。

数电课程设计-数字电子钟PPT课件

数电课程设计-数字电子钟PPT课件
5
3 设计方案的选择与论证
数字电子钟系统框图如下:
图3.1 数 字 电 子 钟 系 统 框 图
6
3 设计方案的选择与论证
3.1) 时间脉冲产生电路
振荡器是数字钟的核心。振荡器的稳定度及频率的 精确度决定了数字钟计时的准确程度。
由集成逻辑门与RC组成的时钟源振荡器或由集成 电路定时器555与RC组成的多谐振荡器作为时间 标准信号源。
本实验中采用4040来构成分频电路。CD4040计数 为最高为12级2进制计数器,可以将32767HZ的信 号先分频为8HZ,再分为1HZ的信号。如图4.1所示 ,可以直接实现振荡和分频的功能。
16
4 电路设计计算与分析
4.2) 时、分、秒计数器
数字钟的计数电路用两个六十进制计数电路和24进 制计数电路实现的。
数字电子钟设计目的数字电子钟设计目的设计任务和要求设计任务和要求设计方案的选择与论证设计方案的选择与论证电路设计计算与分析电路设计计算与分析元器件明细表元器件明细表11掌握数字钟的设计掌握数字钟的设计22熟悉集成电路的使用方法熟悉集成电路的使用方法11显示显示时时分分秒22可以可以2424小时制或小时制或1212小时制小时制
本设计校时电路是将各个位上的使能端引出接一个 单刀双掷开关,一端(1端)接低位的进位信号,另 一端(2端)接校时电路。校正某位上的时间时,可 以将相应位的开关接到2端,通过拨动校时电路就能 实现校时功能。
12
3 设计方案的选择与论证
3.5) 整点报时电路
一般时钟都应具备整点报时电路功能,即在时间出 现整点前数秒内,数字钟会自动报时,以示提醒。
J2是时校正开关。不校正时,J2开关是连接上面的 ,即连接正常计数。当校正时位时,首先截断正常的 计数通路,然后再进行人工出触发计数加到需要校正 的计数单元的输入端,校正好后,再转入正常计时状 态即可。

数电课程设计数字钟

数电课程设计数字钟

数电课程设计数字钟一、课程目标知识目标:1. 理解数字钟的基本原理和组成,掌握数字电路基础知识;2. 学会运用组合逻辑电路设计数字钟的时、分、秒显示部分;3. 掌握数字钟的计时功能,了解其工作过程和调试方法;4. 了解数字钟在实际应用中的优势,如精确度、稳定性等。

技能目标:1. 能够运用所学知识,设计并搭建一个简单的数字钟电路;2. 培养动手实践能力,学会使用相关仪器、工具进行电路搭建和调试;3. 提高问题解决能力,能够分析并解决数字钟运行过程中出现的问题;4. 学会团队协作,与他人共同完成课程设计任务。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生的耐心、细心和责任心,养成良好的学习习惯;3. 引导学生关注科技发展,认识数字技术在实际生活中的应用;4. 培养学生的环保意识,注意电子垃圾的处理和回收。

课程性质:本课程为实践性较强的课程,注重培养学生的动手能力和实际操作技能。

学生特点:学生已具备一定的数字电路基础知识,具有较强的求知欲和动手欲望。

教学要求:结合课程性质和学生特点,采用理论教学与实践操作相结合的方式,注重启发式教学,引导学生主动参与课程设计过程,提高学生的实践能力和创新能力。

通过课程目标的分解,确保学生能够达到预定的学习成果,为后续的教学设计和评估提供依据。

二、教学内容1. 数字钟原理及组成- 了解数字钟的基本工作原理- 掌握数字钟的各个组成部分,如振荡器、分频器、计数器、显示电路等2. 组合逻辑电路设计- 学习组合逻辑电路的设计方法- 应用组合逻辑电路设计数字钟的时、分、秒显示部分3. 数字电路基础知识- 复习数字电路基础知识,如逻辑门、触发器、计数器等- 了解不同类型数字电路的特点和应用4. 数字钟电路搭建与调试- 学习数字钟电路的搭建方法- 掌握数字钟电路的调试技巧,分析并解决常见问题5. 教学内容安排与进度- 第一周:数字钟原理及组成,数字电路基础知识复习- 第二周:组合逻辑电路设计,数字钟显示部分设计- 第三周:数字钟电路搭建,初步调试- 第四周:数字钟电路调试,优化与改进6. 教材章节及内容列举- 教材第三章:数字电路基础- 教材第四章:组合逻辑电路- 教材第五章:时序逻辑电路- 教材第六章:数字钟设计与实践教学内容科学、系统,注重理论与实践相结合,以学生动手实践为主,充分调动学生的积极性,培养实际操作能力。

课程设计 数字电子钟

课程设计  数字电子钟

①校时单元电路
Y A C AB
②校时电路
Y AC AB AC AB
③整体校时电路
R要有具体数值
校时S接A否则断开
课程设计说明书的格式: 封页(课程名称、设计题目、专业班级、 学生姓名、指导教师) 目录:①设计原理(或要求) (包括:如何显示、显 示几位、如何校时等。)、②介绍优点(计时准确,误 差小等)特点(有报时等)主要技术参数(电源电压、 ±误差);③电路的流程方框图(有几部分电路构成) ④工作原理及元件选择的过程(各部分电路的原理叙 述);⑤元件明细表(包括芯片参数、型号、个数、 电阻、电容等); ⑥实验器材、⑦调试过程、⑧收获 与体会。 画出整体的连接图(不允许打印) 课程设计报告需各班统一从网上下载打印然后装订。
需注意:校秒时,分不能进位;校分时, 时不能进位,需用两个开关控制时个位、分个位、 秒个位的EP、ET端。
KA 0
0 1 1
KB 0
1 0 1
EP、ET
EP、ET
EP、ET
(时个位)(分个位) (秒个位) 0 0 0 0 0 1 1 0 0 1
Q 1Q 0 Q2 0 1
00
001/0 101/0
Q1 Q0
01
010/0 000/1
11
100/0
10
011/0
XXX/X XXX/X
Q2 0 1
00
01
11
10
1 1
0 0
0 X
1 X
Q0
n1
Q0
Q 1Q 0 Q2 0 1
00
001/0 101/0
Q1 Q0
01
010/0 000/1

电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)第一篇:电子数字时钟课程设计报告(数电)数字电子钟的设计1.设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。

而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。

且由于数字钟包括组合逻辑电路和时叙电路。

通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1.1设计指标1.时间以12小时为一个周期;2.显示时、分、秒;3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 1.2 设计要求1、电路设计原理说明2、硬件电路设计(要求画出电路原理图及说明)3、实物制作:完成的系统能达到题目的要求。

4、完成3000字的课程设计报告2.功能原理2.1 数字钟的基本原理数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。

工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。

将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计数器,可以实现24小时的累计。

LED数码管将“时、分、秒”计数器的输出状态显示。

校时电路是来对“时、分、秒”显示数字进行校对调整。

2.2 原理框图3.功能模块3.1 振荡电路多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,就能输出一定频率的矩形波形(自激振荡)。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1.设计题目:简易数字电子钟设计1.1设计目的:(1)能独立查阅、整理、分析有关资料(2)能用数字集成电路完成设计任务(3)掌握脉冲产生、整形与分频电路(4)掌握组合逻辑器件1.2基本要求:(1) 24小时制时间显示,6个7段数码管显示(2)要具有分钟与小时的校时电路(3)其他附加功能可以自行设计2.设计过程的基本要求:2.1 基本部分必须完成,学有余力的同学可以根据自己的能力自行确定发挥部分并完成。

2.2 符合设计要求的报告一份。

设计报告内容要求:1. 写出你考虑该问题的基本思路,画出一个实现电路功能的大致框图。

2. 设计出框图中各部分逻辑电路,可用中、小规模集成电路,也可用中规模集成电路连接而成。

对各部分电路的工作原理应作出说明。

最后,画出整个设计电路的原理电路图,并说明电路工作原理。

3. 进行设计的仿真验证。

4. 要求用A4纸打印,不允许复印。

装订顺序为:封面、任务书、成绩评审意见表、中文摘要和关键词、目录、正文、参考文献。

5. 设计报告提交的截止时间为2013年1月17日。

中文摘要本数字钟设计为具有24小时显示,及分钟、秒的显示、有校正功能,利用74LS290、石英晶振、74LS48、数码管等器件连接完成的。

核心是多谐振荡器,可以是用555型的,也可利用石英晶振型的。

此简易数字钟为基础型,可由此拓展额外功能,例如:报时等。

关键字 74LS290,英晶振,分频器,计数器,译码器。

目录题目分析................................................................... - 1 -1 方案设计................................................................. -2 -1.1总体框图 (2)1.1.1 总体概括.............................................. - 2 -1.2各部分电路图与详解 .. (3)1.2.1 振荡器 .............................................. - 3 -1.2.2 分频器 .............................................. - 3 -1.2.3 译码与显示........................................... - 5 -1.2.4 计数器 .............................................. - 6 -1.2.5 校时................................................ - 7 -1.2.6 额外电路----整点报时.................................. - 7 - 附录........................................................................ - 8 -原件清单 (9)附加电路元件清单 (9)总结....................................................................... - 10 -题目分析简易数字电子钟具有正常时间显示与计时的功能,根据课程设计任务书要求,此设计书中数字钟可以显示秒、分、时的功能,以及具有校正的功能 (因为快校正设计复杂、不易实现,所以采取慢校正)。

具体可利用振荡器、分频器、计数器、译码器、显示器实现此数字钟,另外可以添加其他电路来实现额外功能,例如:整点报时,闹钟等。

核心部分是振荡器的起振与分频(具体在后文分析),产生1Hz的脉冲。

繁琐部分是计数与显示。

两部分都是做成与否的关键。

1 方案设计1.1 总体框图图 1.11.1.1 总体概括数字时钟电路由多谐振荡发生器、分频器、两个60进制分秒计数器、一个24进制小时计数器以及6个数字显示器组成。

电路工作时由石英晶体多谐振荡器产生频率高频率脉冲,经由多个74LS290D(功能表如下)计数器构成的分频器得到频率为1HZ的脉冲,脉冲输入计数电路,然后将相应数字显示到数字显示器上即所要显示的时间。

另外,时钟时间的设置与校正可以通过在秒计数器与分计数器之间和分计数器与时计数器之间加单脉冲即可实现。

表1.1 74LS290功能表1.2 各部分电路图与详解1.2.1 振荡器HC-49/U_3MHz图 1.2振荡器是数字钟的核心,数字钟的走时正确与否,由振荡器的频率决定,一般来说频率震荡越快,数字钟走时越准确。

通常情况下可以由555多谐振荡器和石英晶振来做振荡器,555振荡器与石英晶振相比易于实现,而石英晶振产生的频率远大于555振荡器,并且石英晶体振荡器频率稳定选频特性好,所以具有很高的频率稳定性。

为保其准确性本次课设采用的是石英晶振。

图 1.2 中U1A与U2A均并联电阻R1和R2,用以确定U1A与U2A的工作在线性放大区,石英晶体与C1构成反馈回路进行选频,(利用石英晶体对频率敏感的特性,即:频率超过或小于石英晶体的谐振频率时,其阻抗迅速增大),C1与C2为耦合电容,可以通过C1来微调振荡器频率。

1.2.2 分频器由于选取的振荡器是3M的石英晶振,所以想要得到1Hz的脉冲必须将频率3M 分,如图1.3所示,利用计数器可以实现3M分。

将74LS290的连接成十进制,将6个十进制的74LS290连接成为百万进制,最后用连接成为3进制74LS290与百万进制相连成为3M进制。

原理说明:假设,一个100Hz的脉冲发送进入十进制的计数器中,每当计数器计满十时就会发送出1Hz的脉冲,经过100Hz后就会只有10Hz。

利用这点就可以将3MHz变成1Hz,并且也可以将石英晶振产生的误差3M等分,这也是为什么频率越高误差越小。

图1.31.2.3 译码与显示U1A B C D E F GCKU274LS48DA7B1C2D6OA13OD1OE9OF15OC11OB12OG14~LT3~RBI5~BI/RBO4VCC5VVCC5V图1.4图1.4中是由译码器与显示器组成的,74LS48(功能表如下)引脚A、B、C、D 分别对应着编码1、2、4、8对应,因此可实现显示器1~9的显示,例如,A与B 脚接高电平显示器显示3。

表1.2 74LS48功能表1.2.4 计数器图1.5计数器部分是数字电子钟的运算部分负责进位与计时,其主要部分有74LS290组合而成,根据其功能表可知,将INB与QA相接可构成8421型十进制计数器,两个74LS290相接后可以构成60进制计数器,秒(分)计数器个位为74LS290构成的十进制计数器,与之相接的十位是六进制的计数器,六进制计数器是将74LS290的B与C脚连接与门7409N电路相连与门输出与R01、 R02以及下一级计数器相连,每当计数器计0110(即:十进制6)时,B脚与C脚处于高电平经与门输出为高电平,使R01、R02变成高电平时将0110状态变为0000状态,并且也会向下一级发出一个脉冲。

时计数器同理,只是将个位的C脚与十位的B 脚相连,当个位出现0100(即:十进制4),十位出现0010(即:十进制2),C 脚与十位的B脚同时变成高电平,这时触发条件将清零。

1.2.5 校时VCC5VJ1AKey = AJ2AKey = A图1.6校时部分可用简单脉冲来完成,如图1.6 当开关完成一次断开与闭合,就相当于完成一次脉冲,对应的就可以让计数器多运算一次,因此来成校正。

1.2.6 额外电路----整点报时设计原理图如下图1.7,由图可知,当分十位QAQC、分个位QAQD、秒十位QAQC 同时为“1”时,电路驱动NPN三极管,报时电路工作,即当分、秒时间为59分50-59秒内蜂鸣器(R1代替)发出响声报时。

300Hz可由分频器分出5V电源可用总路中的。

注:因是额外附加电路,所以不加入总图中。

图1.7附录数字钟总图 2.1原件清单表 2.1 附加电路元件清单总结数字电子钟是可以显示时间的工具,其准确性由核心振荡器的频率决定,课设中我采用的是石英晶体振荡器,石英晶体振荡器产生高达3MHz的脉冲,不可直接利用,这就需要分频器来完成将频率转变的工作,分频器可以用计数器或可以直接分频的器件来充当,我采用的是74LS290计数器,由多个十进制的74LS290计数器来组成3M进制的计数器来进行分频,将3M变成1Hz,并且也将振荡器产生的误差3M等分,这也是为什么频率越高误差越小的原因。

1Hz的脉冲发送到计数机,让计数器计数,计数器同样使用的是74LS290,分别用74LS290组成的是60进制(秒)、60进制(分)、24进制(时)计数器,秒、分、时计数器相连,让每一级的下一级计数完成一轮计数后向上一级发送一次脉冲,实现了计数器工作。

显示器与译码器相连,计数器会将计数的2进制数发送到译码器,译码器处理后发送到显示器上,这样显示器既可以显示出计数器所计的10进制数。

校正部分直接在秒与分计数器之间、分与时之间添加单脉冲即可,用电源和单闸刀即可,必要时添加电阻调节电路电压。

额外部分是由多个与门共同完成的,当条件满足,同时为“1”时,电路驱动NPN三极管,报时电路工作,内蜂鸣器发出响声报。

300Hz可以蜂鸣器间接响,300Hz 可有由分频器分出,5V电源可用总路中的校时电路的。

我所完成的数字钟与555型相比不易实现,在电路的保护部分所做的还不足,过于简单,导致各电路配合会出现不同程度的错误,希望以后可以更加详细的来完成自己所做的每一个电路。

相关文档
最新文档