8位二进制数字密码锁系统设计(赵俊峰)

合集下载

八位二进制数字密码锁

八位二进制数字密码锁

EDA课程设计报告书题目:八位二进制数字密码锁姓名:***班级:12级通信1学号: **********目录一、摘要............................................................................................................ 错误!未定义书签。

二、引言............................................................................................................ 错误!未定义书签。

三.总体设计原理与内容 (2)1.设计要求 (2)2.设计方案 (2)四.单元电路设计 (3)五.软件设计 (5)六.系统测试 (6)(1)仿真波形 (6)(2)数据分析 (6)七、设计总结 (7)八、参考文献 (8)一、摘要:数字控制的电子密码锁已经广泛应用在办公室、公司、宾馆、小区住宅等场所。

EDA技术的应用引起了电子产品系统开发的革命性变革。

利用先进的EDA工具,基于硬件描述语言,可以进行系统级数字逻辑电路的设计。

本文简述了VHDL语言的功能及其特点,并以8位串行数字锁设计为例,介绍了在QUARTUS II 7.2开发软件下,利用VHDL硬件描述语言设计数字逻辑电路的过程和方法。

二、引言:电子密码锁系统主要由电子锁体、电子密匙等部分组成,一把电子密匙里能存放多组开锁密码,用户在使用过程中能够随时修改开锁密码,更新或配制钥匙里开锁密码。

一把电子锁可配制多把钥匙。

语音方面的广泛应用,使得具有语音播放的电子密码锁使用起来更加方便。

语音密码锁的体积小、保密性能好、使用方便,是用在保险箱、电话或是房门上不可少的部分。

数字集成技术和电子设计自动化(E1ectronic Design Automation,EDA)技术的发展迅速,数字系统设计的理论和方法也在相应地变化和发展着。

EDA综合课程设计电子密码锁

EDA综合课程设计电子密码锁

EDA综合课程设计----电子密码锁一.EDA综合设计的任务和要求1 EDA综合设计的任务:利用Verilog HDL语言来设计一个8位的电子密码锁,并用FPGA 实现。

2 EDA综合设计的要求:(1)设计一个简单的数字密码锁,密码为8位,其功能:开锁代码为8位二进制数,串行输入,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时方可开锁,并点亮开锁指示灯。

否则,系统进入“错误”状态,并发出报警信号。

(2)串行电子密码锁的报警方式是点亮指示灯,并使喇叭鸣叫报警,直到按下复位开关,报警才停止。

此时,电子密码锁又进入等待下一次开锁的状态。

(3)扩展功能:锁内给定的密码可调,且预置方便,保密性好。

3 verilog HDL与FPGA简介Verilog HDL是一种硬件描述语言(HDL:Hardware Description Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。

Verilog HDL和VHDL是目前世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。

前者由Gateway Design Automation公司(该公司于1989年被Cadence 公司收购)开发。

两种HDL均为IEEE标准。

[1]Verilog HDL是一种优秀的硬件描述语言,它与C语言有许多相似之处,并继承和借鉴了C 语言的多种操作符和语法结构,有C语言基础的人很快就能够学习并使用该语言。

电子设计中,系统开发平台为QuartusⅡ。

QuartusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。

在Quartus Ⅱ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

基于AT89S52单片机的密码锁设计

基于AT89S52单片机的密码锁设计

基于AT89S52单片机的密码锁设计一、项目概述很多行业的许多地方都需要密码锁,但普通密码锁的密码容易被多次试探而破译。

此项目给出了一种能防止多次试探密码的密码锁设计方法,从而有效地克服了上述缺点。

这种能防止多次试探密码的单片机密码锁应用前景广泛。

二、项目要求基于AT89S52单片机的密码锁设计,具体功能如下:(1)总共可以设置8位密码,每位密码值范围为1~8.(2)用户可以自行设定和修改密码。

(3)按每个键时都有声音提示。

(4)若键入的8位开锁密码不完全正确,则报警5s,以提醒他人注意。

(5)开锁密码连续错3次要报警1分钟,报警期间输入密码无效,以防止窃贼多次试探密码。

(6)键入8位开锁密码完全正确才能开锁,开锁时有1s的提示音。

(7)电磁锁的电磁线圈每次通电5s,然后恢复初态(8)密码键盘上只允许有8个密码按键。

锁内有备用电池,只有内部上电复位时才能设置和修改密码,因此,仅在门外按键是不能修改或设置密码的。

(9)密码设定完毕后要有2s的提示音。

三、系统框图设计按照系统设计的要求和功能,将系统分为主控模块、按键扫描模块、蜂鸣器、电源电路、复位电路、晶振电路、驱动电路几个模块,系统组成框图如下。

主控模块采用AT89S52单片机。

系统组成框图四、硬件设计4.1电路原理图系统硬件电路图如下所示,P1口接密码按键,开锁脉冲由P3.2输出,报警和提示音由P3.7输出,按键a~h分别代表数字1~8.若没有键按下,则P1.0~P1.7全是高电平;若某键被按下,则相应的口线为低电平。

系统硬件电路图4.2元件清单元件名称型号个数用途单片机AT89S52 1 控制核心晶振12MHz 1 晶振电路电容30Pf 2 晶振电路电解电容20Μf/10V 1 复位电路按键9 按键电路三极管8550 2 放大电路二极管IN4004 1蜂鸣器 1 报警电路电阻1kΩ 1 上拉电路电阻10kΩ 1 复位电路电阻 4.7kΩ 2 放大电路继电器5V 1 控制对象电源+5V/0.5A 1 提供+5V电源五、软件设计5.1程序流程图如图所示给出了该单片机密码锁电路的软件流程图。

基于单片机八位数字密码锁设计报告

基于单片机八位数字密码锁设计报告

基于单片机八位数字密码锁设计报告1研究意义数字密码锁是利用数字密码来开启的锁具,其重复概率仅为十万分之一,有着很高的安全性;而旋芯式锁具使用不够安全。

通过对社会各阶层千余人的调查,百分之百的人对目前身上挂着的串串钥匙无可奈何。

但现在又没有一种锁具可摆脱钥匙的束缚。

都愿意一身轻松没有任何顾虑的出入家门,都愿意用上一种既安全方便又不用钥匙的锁具。

因此,“数字密码锁”产品的市场发展前景极为广阔。

在调查的千余人中有60%的人有丢失钥匙的经历,25%的人有把钥匙反锁在室内的,75%的人居室在三层以上的,36%的人把钥匙忘在工厂的,有8%的人是利用邻居的阳台、窗户跳跃进入自己家来打开被反锁的房门,90%的人或听或看新闻得知有因无法打开房门,而冒险跃窗发生事故非死既伤,给家庭造成麻烦。

精神和肉体的损伤是无法挽回的,为了解决上述各种数据给人们带来的各种烦恼,所以“数字密码锁”,使人们在无忧无虑的环境中生活。

2设计思路本设计电路控制部分由A T89S52单片机做主控制器,4×4矩阵键盘做密码输入控制,RT1602C做显示部分,密码输入提示音由蜂鸣器提示。

其主要功能有密码输入、密码修改、上锁和开锁。

开锁期间用户可通过修改键自行设置密码。

密码位数为6~8位。

此作品为八位密码。

开锁时先输入默认密码后,按下“确认”键检测,密码正确时开锁。

3设计流程及结构图4系统调试4.1电子密码锁启动显示电子密码锁启动时,系统提示:“I MPORT CIPHER”,即提示请输入密码,如图。

输入密码正确,系统提示:CONGRATULATE YOU PENING LOCK !即提示锁已经打开,如图。

4.2密码输入及功能选择用4行*4列小键盘输入8位密码(密码限于8位),如果密码输入正确,则显示CIPHER ERROR然后,输入密码后按“确认”键。

系统提示:CONGRATULATE YOU PENING LOCK !即提示锁已经打开。

EDA八位二进制密码锁

EDA八位二进制密码锁

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity code isport( clk: in std_logic;--电路工作时的时钟信号clk1: in std_logic;--闹铃产生需要的时钟信号k: in std_logic;--高电平表示输入1led: out std_logic;--输入正确时亮led1: out std_logic;--输入错误时亮reset: in std_logic;-- 按下时复位want: in std_logic;--是否修改密码alarm: out std_logic;--输出闹铃声show: out std_logic_vector(3 downto 0));--提示作用end;architecture a of code issignal temp: std_logic_vector(3 downto 0);--输入一位加1signal code: std_logic_vector(7 downto 0);--储存密码signal getcode: std_logic_vector(7 downto 0);--储存修改后的密码signal counter: std_logic_vector(3 downto 0);--计数signal allow: std_logic;--是否允许修改密码signal ring:std_logic;--是否接通闹铃beginprocess(clk)beginif ring='1' thenalarm<=clk1;--闹铃接通elsealarm<='0';--闹铃截至end if;if reset='1' then--按下reset后,密码归为初始密码getcode<="00000000";--初始密码counter<="0000";--内部计数code<="11001000";--密码led<='0';led1<='0';allow<='0';elsif clk'event and clk='1' then--输入clk脉冲,则接收1位密码getcode<=getcode(6 downto 0)&k;--将这1位密码并入getcode中的最后一位if counter="1000" then--输入为8位数码时比较if code=getcode thenled<='1';--正确灯亮led1<='0';ring<='0';allow<='1';--允许修改密码elsif allow='1' and want='1' then--如果允许输入且想输入code<=getcode;--输入新密码led<='0';led1<='0';elseallow<='0';led<='0';led1<='1';--错误灯亮ring<='1';--闹铃响end if;counter<="0000";--重新计数elsecounter<=counter+1; --累加temp<=temp+1;--为防止泄露密码,特别设置end if;end if;show <= temp;end process;end。

8位二进制数字密码锁系统设计(赵俊峰)

8位二进制数字密码锁系统设计(赵俊峰)

8位二进制数字密码锁系统设计(赵俊峰)8位二进制数字密码锁系统设计外文文献翻译(译成中文3000字左右):1.Digital Circuit IntroductionDigital circuit definition:Completes with the digital signal to the digital quantity carries on the arithmetic operation and the logic operation electric circuit is called the digital circuit, or number system. Because it has the logic operation and the logical processing function, therefore calls then umeral logic circuit. Numeral logic circuit classification (according to function minute):1st, combinatory logic electric circuitThe abbreviation combination circuit, it becomes by the most basic logical gate electric circuit combination. The character is tic is: Output value only and then input value related, namely output only by then input value decision. The electric circuit has not remembered the function, the output condition changes along with the input condition change, is similar to the resistance electric circuit, like the accumulator, the decoder, the encoder, the data selector and so on all belong to this kind.2nd, succession logic circuitThe abbreviation sequence circuit, it is adds on the feed back logic return route by the most basic logical gate electric circuit (to output the electric circuit which input) or the component combination becomes, lies in the sequence circuit with the combination circuit essence difference to have the memory function. The sequence circuit characteristic is: The output not only was decided by then input value, moreover also the and circuit past condition concerned. It is similar to containing thestored energy part the inductance or the electric capacity electric circuit, like electric circuit and so on trigger, latch, counter, shift register, reservoir all is the sequence circuit typical component.Digital circuit characteristic:1st, simultaneously has the arithmetic operation and the logic operation functionThe digital circuit is take the binary system logic algebra as mathematics foundation, the use binary numeral signal, both can carryon the arithmetic operation and to be able conveniently to carry on the logic operation (with, or, non-, judgment, comparison, processing and so on), therefore extremely suits to application and so on operation, comparison, memory, transmission, control, decision-making.2nd, realization simple, the system is reliableBy binary system underlie numeral logic circuit, simple reliable, the accuracy is high.3rd, integration rate high, the function realization is easyIntegration rate high, volume small, the power loss is low is one of digital circuit prominent merits. Electric circuit design, service, maintenance nimble convenient, along with the integrated circuit technology high speed development, the numeral logic circuit integration rate is more and more high, integrated circuit block function along with small scale integration electric circuit (SSI),center scale integrated circuit (MSI), large scale integrated circuit(LSI), ultra large scale integrated circuit (VLSI)the development also from the part level, the component level, the part level, the board card level risesto the system level. The electric circuit design composition only must use some standards theintegrated circuit block unit connection to become. Also may use the programmable foreword logic array electric circuit regarding the non- standard special electric circuit, through programming method realization free logic function.Digital circuit application:Digital circuit and numeral electronic technology widespread application to science and technology each domain and so on television, radar, correspondence, electronic accounting machine, automatic control, astronautics.2.Digital code lock System Status and Development TrendRecent years, along with reform and open policy thorough development, electronic appliance's swift development. People's living standard had the very big enhancement. Each kind of upscale electrical appliances product and the valuables have for many families. However some unlawful elements are also more and more. This sees majority of person security consciousness also insufficient. Creates steals the phenomenon to be common. Therefore, more and more resident families worried to the property security problem. the alarm apparatus had been solving many problems by now for the people. But in the market alarm apparatus majority of use in some big company fiscal institution. The price is soaring, generally the people accept with difficulty. If redesigns and produces one kind moderately-priced, the performance keen reliable burglar alarm, will certainly plays a more effective role in security and the guarantee property security aspect. As the electronic code lock is a password to enter the control circuits, or chips, so as to control mechanical switches closed, the completion of the lock, closed the task of electronic products. It's a lot of species, there is a simple circuit products as well as chip-based products more cost-effective. Now a widerapplication of electronic locks is at the core chip.The electronic technology has obtained the rapid development, under its impetus, the electronic products nearly seeped society's each domain now, powerfully impelled in the social product development and the social becoming an information based society degree enhancement, simultaneously also is makes the modern electronic products performance further to enhance, the product renewal rhythm more and more is also quick. First the EDA technology after enters for the 21st century, obtained the very big development, its basic characteristic uses the higher order language description, has System the simulation and the synthesizing capacity. The VHDL hardware description language is designs the source document to be possible to use is similar with the C language written form, and uses the structural design method. Along with the people living standard enhancement, the password lock took the family security bodyguard's function is day by day important, it compared to has some unique superiority with the ordinary mechanical phase-lock, for example: Secret, the security performance is good, may not use the key, so long as remembers the password then unlock.The EDA technique develops trend: The EDA technique is after get into 21 centuries, because of more large-scale FPGA and continuously release of the cave m spare part are really imitate with design the both side support a standard hardware description, the function strong EDA software of the language continuously renews, increment, make the electronics EDA's technique get a larger development. The electronics technique is all-directions to bring into EDA realm, the EDA make electronics the boundary of each academics of realm be getting more misty, more with each other in order to forgive, the outstanding performance is at following few aspects: Makeelectronics design the result can definitely express to make possible with confirmation by independent intelligent property right; Design standard unit according to the ASIC of EDA tool have already covered large-scale electronics system and the IP pit mold piece; The soft hardware IP pit gets further confirmation in industry realm, technique realm and the design applied realm of electronics profession; The SoC efficiently low cost design technical maturity. Along with the semi-conductor technique, integrated technique and the calculator technical fast fierce development, design method and design means of electronics system all took place very big variety. Can say electronics EDA's technique's is an electronics design is a revolution of the realm. The design method of traditional” fix function integration piece ten on-lines" just and gradually with draws history stage, but is become modern main current of design of the electronics system according to the design method of chip. Be Gao Deng3 college's understanding and climbing to hold this advanced technique concerning the professional student and the large electronics engineer be certainly to be necessarily going, this not only is the demand of efficiency of the exaltation design, more that time generation need for develop, only climbed to hold the EDA technique just includes the competition that the ability participates the industrial market of the world electronics, then can exist with development. Along with the progress of science and technique revolution of the realm and electronics industryfield of the electronics design, also in the meantime to electricity course of teaching and research put forward more deeply higher request. Especially the EDA technique hasn't make widely available in the our country and control is this all new technique with universality, will to our country the electronics technical development have profound meaning.3.Electronic Lock System of Non-contact IC CardBased on the research of RS485 and TCP/IP Communication Protocol, the paper introduces an Electronic Lock System of Non-contact IC card and puts forwards the solution of the whole system. This system adopts distributed architecture and is combined with the centralized and unified management. It can be classified into three aspects: management center server, user building PC and Electronic Cipher Locks. The paper emphases on the general principle and the design of hardware and software of this kind of Electronic Cipher Lock system, which takes Micro-controller STC89C58RD+ chip as core and Philips ’ MFRC530 for RF base station. Comp ared with the traditional Electronic Lock system, this system has significant advantages. The results prove the feasibility of the scheme and show that the system is stable and has a good real-time performance.4. Electronic Cipher Locks by the Use of AT89C2051 MCUAs electronic products are developing towards intelligence and minimization, micro control unit(MCU) have become the first choice for controllers in the development of electronic products. In order to expand the applications of MCU in to household appliances, a new designing method of electronic cipher locks by the use of AT89C2051 MCU is introduced in this paper.It shows that thecipher lock is characterized by its low cost and high degreeof safety and practicality. Besides, it works well as a residence lock and has great potential for commercial development.5. Giving-alarm Electronic Password LockThis article introduces one kind of giving-alarm electronic password lock, using unidirectional thyristor as the storage cell. The digital key of this kind of lock is composed of 10 lightly touchedswitch. 5 of the codes open the lock, the other 5 codes are used to alarm. If the password is right, so long as pressing down the 5 digital keys at one time or according to the order, the electronic lock will be open quickly. If the password is wrong, pressing the giving-alarm key will bring a 30s alarm:“to catch the thief”.6. the Infrared Long-distance Remote Control Which the Numerical Ciphers LockSpecialized numerical ciphers lock the infrared long-distance remote control is one kind of quite advanced password switch, this article designs one through the telephone through the infrared remote control realization opposite party opening which locks with the equipment password, the user use handset dials in his/her family's fixed telephone, to the telephone number which dials carries on the recognition by the monolithic integrated circuit realization, with confirmed whether its number for does unlock the telephone number, if is master's telephone number, the monolithic integrated circuit sends out the signal control infrared launcher, the realization long-distance remote control unblanking.This article elaborated the infrared remote control basic principle and has designed the corresponding transmission and the accepting circuit, again uses correlation chip NE555, CX20106,HT9030 the function characteristic, the composition auxiliary circuit, forms the hardware diagram; Second step then key conducts the research to at89C51 monolithic integrated circuit, the cost design software designs and draws the flow chart. Finally this design essential duty is take AT89C51 as a core, various functions module organic union. Through the monolithic integrated circuit control, realizes the function which each separation component cannot complete. Namely finally realizes the infrared long-distance remote control which the numerical ciphers lock.1.数字电路简介数字电路定义:用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。

15位二进制密码锁设计(数电课程设计)

15位二进制数密码锁系统设计一.课程设计目的和任务1、设计目的(1)熟悉课本知识。

(2)了解数字电路的基本设计思路。

(3)掌握电路中各个芯片的具体功能。

(4)体会从理论到实践的思想。

(5)学会使用Multisim软件进行模拟实现。

(6)提高分析问题和解决问题的能力。

2、设计任务与要求(1)具有密码预置功能。

(2)输入密码采用串行方式,输入过程中不提供密码数值信息。

(3)当输入15位密码完全正确时,密码锁打开。

密码锁一旦打开,只有按下RST复位键时才能脱离开锁状态,并返回初始状态。

(4)密码输入过程中,只要输错1位密码,系统便进入错误状态。

此时,只有按下RST复位键时才能脱离错误状态,返回初始状态。

(5)如果连续3次输错密码,系统将报警。

一旦报警,将清楚错误此数记录,且只有按下RST复位键才能脱离报警状态,返回初始状态。

二.任务分析与系统设计1、任务分析首先,要求其电路设计需要具有密码预置功能以及要使密码输入采用串行输入,因此需要用到寄存器,如74LS194,集成双向移位寄存器。

又由于是15位二进制数密码锁,所以需要用到四个74LS194。

其次,如果输入十五位密码全部正确时,密码锁打开。

此时需要用到同步十六进制计数器,如74LS163。

并用彩色指示灯亮时表示开锁。

此外还需要D触发器、SR触发器和四输入与门74LS21等芯片来辅助这些任务的完成。

再次,如果密码输入过程中,只要输错一位密码,系统便进入错误状态。

因此在每一位密码比较时都要判断是否出现错误,并且错误时使另一个彩色指示灯亮。

当连续三次输错密码时,使系统将报警,也是需用一个彩色指示灯亮。

这时还需要一个十六进制加法计数器,如74LS163,让其计数到三时使指示灯亮。

最后,让一个开关RST控制这些指示灯让其熄灭,并让所有的电路元件恢复初始状态。

2、元器件清单表1 元器件清单3、具体设计过程我们设计的数字电路图包含密码预置电路、密码比较电路、计数电路、消警报警控制电路、开锁封锁控制电路、错误初始化控制电路等部分组成。

数电课程设计说明书八位二进制密码锁

目录摘要 .......................................................................................................................................................... - 1 - 1、二进制密码锁电路的设计方案........................................................................................................... - 2 -1.1设计任务与要求.......................................................................................................................... - 2 -1.2 设计思路与原理......................................................................................................................... - 2 -1.2.1设计思路.......................................................................................................................... - 2 -1.2.2电路工作原理.................................................................................................................. - 2 -1.3.1 74HC85芯片.................................................................................................................... - 3 -1.3.2数码管.............................................................................................................................. - 6 -1.4 Multisim仿真元器件的选用.................................................................................................... - 7 -表1.1 元器件选用列表:................................................................................................................. - 7 - 2、二进制密码锁电路的设计与调试....................................................................................................... - 7 -2.1电路Multisim整体仿真结果与调试........................................................................................ - 7 -2.1.1断电状态仿真.................................................................................................................. - 7 -2.1.2初始态仿真...................................................................................................................... - 8 -2.1.3密码错误状态仿真.......................................................................................................... - 8 -2.1.4密码正确状态仿真.......................................................................................................... - 9 -2.1.5修改密码仿真.................................................................................................................. - 9 -3、 Protel原理图的绘制...................................................................................................................... - 10 -4、总结与体会 ........................................................................................................................................ - 11 - 参考文献 .................................................................................................................................................. - 13 -二进制密码锁的设计摘要传统的机械锁由于构造简单,安全性能较差。

八位二进制密码锁的设计

目录摘要 (1)1.二进制密码锁设计原理、总体结构框图 (1)1.1设计的思路与原理 (1)1.2 设计的总体结构框图 (2)2.二进制密码锁电路的设计与调试 (2)2.1密码锁总电路图的设计 (2)2.2电路的分析 (4)2.3电路整体效果图仿真与调试 (5)2.4 Protel与PCB电路图 (7)3.设计时注意事项与元器件清单 (8)3.1注意事项 (8)3.2.Multisim仿真元器件清单 (9)4. 总结体会 (9)参考文献 (10)摘要这一学期刚学习了数电课程,为进一步掌握数电的基本理论及实验调试技术,因此,我们进行了这次课程设计,我选择的课题是二进制密码锁的设计。

首先采用逻辑门电路设计密码锁,改密码锁具有密码预置功能,保密性强,如果密码错误,系统中的数码管就会显示“E”,并且LED指示灯会熄灭。

如今,随着人们的生活水平不断提高,对于防盗技术也越来越先进了,因此,我的这个课题也是非常贴近生活,其实我们生活中的密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁,闭锁任务的电子产品。

它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。

现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的。

其性能和安全性已大大超过了机械锁。

本次课程设计设计一个8位并行二进制密码锁,密码内容可通过开关进行设置并且设置8位输入按键,当不进行任何按键时,数码管显示“0”;当按键值与预置的密码完全符合时,数码管显示“R”,开锁状态LED灯亮;当按键值与预置密码不符合时,数码管显示“E”,开锁状态LED灯灭。

因此,在实行时我采用了一个74HC573的锁存器和异或集成芯片进行输出的比较。

同时系统可设置一个使能输入端,当使能端为高电平时,密码锁能正常工作,反之,密码锁按键无效,数码管灭, 开锁状态LED灯灭。

利用仿真软件Multisim画出电路图进行仿真,最后分析出现误差的原因以及影响因素。

8位密码锁课程设计

8位密码锁课程设计一、课程目标知识目标:1. 学生能理解8位密码锁的基本原理,掌握二进制编码与密码锁之间的关系。

2. 学生能够运用数学逻辑知识,分析和设计简单的8位密码锁。

3. 学生了解密码学中的基础概念,如加密、解密等。

技能目标:1. 学生通过小组合作,能够动手搭建一个简易的8位密码锁模型,培养动手操作和团队协作能力。

2. 学生能够运用所学的逻辑思维,解决8位密码锁相关的问题,提高问题解决能力。

情感态度价值观目标:1. 学生通过本课程的学习,培养对信息科技的兴趣,激发创新意识和探索精神。

2. 学生在小组合作中,学会尊重他人意见,培养良好的沟通能力和团队协作精神。

3. 学生能够认识到信息安全的重要性,增强网络安全意识,树立正确的价值观。

本课程针对中学生设计,结合信息科技课程的教学要求,注重培养学生的实践操作能力和逻辑思维能力。

课程内容与教材紧密相关,通过实际操作和案例分析,让学生在掌握知识的同时,提高技能和情感态度价值观。

课程目标具体、可衡量,便于后续教学设计和评估。

二、教学内容1. 密码学基础知识:介绍密码学的基本概念,如加密、解密、密码等,结合教材相关章节,让学生了解信息安全的重要性。

2. 二进制编码原理:讲解二进制编码的基础知识,以及与8位密码锁的关联,使学生理解二进制在密码锁中的应用。

3. 8位密码锁原理:分析8位密码锁的工作原理,包括锁具结构、密码设置与识别等,结合教材内容,让学生掌握密码锁的基本构造。

4. 逻辑思维训练:通过案例分析和问题解决,训练学生运用逻辑思维解决8位密码锁相关问题。

5. 实践操作:组织学生分组,指导他们动手搭建简易的8位密码锁模型,培养动手能力和团队协作精神。

教学内容安排和进度:第一课时:密码学基础知识,二进制编码原理。

第二课时:8位密码锁原理,逻辑思维训练。

第三课时:实践操作,分组搭建8位密码锁模型。

教学内容与教材紧密相关,注重科学性和系统性,旨在帮助学生扎实掌握8位密码锁相关知识,提高实践操作能力。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

8位二进制数字密码锁系统设计外文文献翻译(译成中文3000字左右):1.Digital Circuit IntroductionDigital circuit definition:Completes with the digital signal to the digital quantity carries on the arithmetic operation and the logic operation electric circuit is called the digital circuit, or number system. Because it has the logic operation and the logical processing function, therefore calls then umeral logic circuit. Numeral logic circuit classification (according to function minute):1st, combinatory logic electric circuitThe abbreviation combination circuit, it becomes by the most basic logical gate electric circuit combination. The character is tic is: Output value only and then input value related, namely output only by then input value decision. The electric circuit has not remembered the function, the output condition changes along with the input condition change, is similar to the resistance electric circuit, like the accumulator, the decoder, the encoder, the data selector and so on all belong to this kind.2nd, succession logic circuitThe abbreviation sequence circuit, it is adds on the feed back logic return route by the most basic logical gate electric circuit (to output the electric circuit which input) or the component combination becomes, lies in the sequence circuit with the combination circuit essence difference to have the memory function. The sequence circuit characteristic is: The output not only was decided by then input value, moreover also the and circuit past condition concerned. It is similar to containing the stored energy part the inductance or the electric capacity electric circuit, like electric circuit and so on trigger, latch, counter, shift register, reservoir all is the sequence circuit typical component.Digital circuit characteristic:1st, simultaneously has the arithmetic operation and the logic operation functionThe digital circuit is take the binary system logic algebra as mathematics foundation, the use binary numeral signal, both can carryon the arithmetic operation and to be able conveniently to carry on the logic operation (with, or, non-, judgment, comparison, processing and so on), therefore extremely suits to application and so on operation, comparison, memory, transmission, control, decision-making.2nd, realization simple, the system is reliableBy binary system underlie numeral logic circuit, simple reliable, the accuracy is high.3rd, integration rate high, the function realization is easyIntegration rate high, volume small, the power loss is low is one of digital circuit prominent merits. Electric circuit design, service, maintenance nimble convenient, along with the integrated circuit technology high speed development, the numeral logic circuit integration rate is more and more high, integrated circuit block function along with small scale integration electric circuit (SSI),center scale integrated circuit (MSI), large scale integrated circuit(LSI), ultra large scale integrated circuit (VLSI)the development also from the part level, the component level, the part level, the board card level risesto the system level. The electric circuit design composition only must use some standards theintegrated circuit block unit connection to become. Also may use the programmable foreword logic array electric circuit regarding the non- standard special electric circuit, through programming method realization free logic function.Digital circuit application:Digital circuit and numeral electronic technology widespread application to science and technology each domain and so on television, radar, correspondence, electronic accounting machine, automatic control, astronautics.2.Digital code lock System Status and Development TrendRecent years, along with reform and open policy thorough development, electronic appliance's swift development. People's living standard had the very big enhancement. Each kind of upscale electrical appliances product and the valuables have for many families. However some unlawful elements are also more and more. This sees majority of person security consciousness also insufficient. Creates steals the phenomenon to be common. Therefore, more and more resident families worried to the property security problem. the alarm apparatus had been solving many problems by now for the people. But in the market alarm apparatus majority of use in some big company fiscal institution. The price is soaring, generally the people accept with difficulty. If redesigns and produces one kind moderately-priced, the performance keen reliable burglar alarm, will certainly plays a more effective role in security and the guarantee property security aspect. As the electronic code lock is a password to enter the control circuits, or chips, so as to control mechanical switches closed, the completion of the lock, closed the task of electronic products. It's a lot of species, there is a simple circuit products as well as chip-based products more cost-effective. Now a wider application of electronic locks is at the core chip.The electronic technology has obtained the rapid development, under its impetus, the electronic products nearly seeped society's each domain now, powerfully impelled in the social product development and the social becoming an information based society degree enhancement, simultaneously also is makes the modern electronic products performance further to enhance, the product renewal rhythm more and more is also quick. First the EDA technology after enters for the 21st century, obtained the very big development, its basic characteristic uses the higher order language description, has System the simulation and the synthesizing capacity. The VHDL hardware description language is designs the source document to be possible to use is similar with the C language written form, and uses the structural design method. Along with the people living standard enhancement, the password lock took the family security bodyguard's function is day by day important, it compared to has some unique superiority with the ordinary mechanical phase-lock, for example: Secret, the security performance is good, may not use the key, so long as remembers the password then unlock.The EDA technique develops trend: The EDA technique is after get into 21 centuries, because of more large-scale FPGA and continuously release of the cave m spare part are really imitate with design the both side support a standard hardware description, the function strong EDA software of the language continuously renews, increment, make the electronics EDA's technique get a larger development. The electronics technique is all-directions to bring into EDA realm, the EDA make electronics the boundary of each academics of realm be getting more misty, more with each other in order to forgive, the outstanding performance is at following few aspects: Makeelectronics design the result can definitely express to make possible with confirmation by independent intelligent property right; Design standard unit according to the ASIC of EDA tool have already covered large-scale electronics system and the IP pit mold piece; The soft hardware IP pit gets further confirmation in industry realm, technique realm and the design applied realm of electronics profession; The SoC efficiently low cost design technical maturity. Along with the semi-conductor technique, integrated technique and the calculator technical fast fierce development, design method and design means of electronics system all took place very big variety. Can say electronics EDA's technique's is an electronics design is a revolution of the realm. The design method of traditional” fix function integration piece ten on-lines" just and gradually with draws history stage, but is become modern main current of design of the electronics system according to the design method of chip. Be Gao Deng3 college's understanding and climbing to hold this advanced technique concerning the professional student and the large electronics engineer be certainly to be necessarily going, this not only is the demand of efficiency of the exaltation design, more that time generation need for develop, only climbed to hold the EDA technique just includes the competition that the ability participates the industrial market of the world electronics, then can exist with development. Along with the progress of science and technique revolution of the realm and electronics industry field of the electronics design, also in the meantime to electricity course of teaching and research put forward more deeply higher request. Especially the EDA technique hasn't make widely available in the our country and control is this all new technique with universality, will to our country the electronics technical development have profound meaning.3.Electronic Lock System of Non-contact IC CardBased on the research of RS485 and TCP/IP Communication Protocol, the paper introduces an Electronic Lock System of Non-contact IC card and puts forwards the solution of the whole system. This system adopts distributed architecture and is combined with the centralized and unified management. It can be classified into three aspects: management center server, user building PC and Electronic Cipher Locks. The paper emphases on the general principle and the design of hardware and software of this kind of Electronic Cipher Lock system, which takes Micro-controller STC89C58RD+ chip as core and Philips ’ MFRC530 for RF base station. Comp ared with the traditional Electronic Lock system, this system has significant advantages. The results prove the feasibility of the scheme and show that the system is stable and has a good real-time performance.4. Electronic Cipher Locks by the Use of AT89C2051 MCUAs electronic products are developing towards intelligence and minimization, micro control unit(MCU) have become the first choice for controllers in the development of electronic products. In order to expand the applications of MCU in to household appliances, a new designing method of electronic cipher locks by the use of AT89C2051 MCU is introduced in this paper.It shows that thecipher lock is characterized by its low cost and high degree of safety and practicality. Besides, it works well as a residence lock and has great potential for commercial development.5. Giving-alarm Electronic Password LockThis article introduces one kind of giving-alarm electronic password lock, using unidirectional thyristor as the storage cell. The digital key of this kind of lock is composed of 10 lightly touchedswitch. 5 of the codes open the lock, the other 5 codes are used to alarm. If the password is right, so long as pressing down the 5 digital keys at one time or according to the order, the electronic lock will be open quickly. If the password is wrong, pressing the giving-alarm key will bring a 30s alarm:“to catch the thief”.6. the Infrared Long-distance Remote Control Which the Numerical Ciphers LockSpecialized numerical ciphers lock the infrared long-distance remote control is one kind of quite advanced password switch, this article designs one through the telephone through the infrared remote control realization opposite party opening which locks with the equipment password, the user use handset dials in his/her family's fixed telephone, to the telephone number which dials carries on the recognition by the monolithic integrated circuit realization, with confirmed whether its number for does unlock the telephone number, if is master's telephone number, the monolithic integrated circuit sends out the signal control infrared launcher, the realization long-distance remote control unblanking.This article elaborated the infrared remote control basic principle and has designed the corresponding transmission and the accepting circuit, again uses correlation chip NE555, CX20106, HT9030 the function characteristic, the composition auxiliary circuit, forms the hardware diagram; Second step then key conducts the research to at89C51 monolithic integrated circuit, the cost design software designs and draws the flow chart. Finally this design essential duty is take AT89C51 as a core, various functions module organic union. Through the monolithic integrated circuit control, realizes the function which each separation component cannot complete. Namely finally realizes the infrared long-distance remote control which the numerical ciphers lock.1.数字电路简介数字电路定义:用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。

相关文档
最新文档