数字系统的设计例子
基于51单片机的数字气压计系统设计

2019.051概述气压计是利用压敏材料将气压变化转化成易于检测和传输的电信号,然后对电信号进行滤波、放大、通过后续电路处理,并将数据显示出来的一种测量工具。
其在观察压力变化、压力大小测量,以及对其他物理量测量等方面都有十分重要的作用。
传统的水银气压计占用空间较大、气压数据不能直接显示、灵敏度低、易损坏,测量结果受环境影响较大。
随着mems 技术和智能芯片技术的发展,气压计正朝着智能化、无线化、微型化的方向发展。
数字压力传感器的应用领域涵盖了医疗卫生、航空航天、户外作业、工矿企业等,并在人们的日常生活中也很常见,如手机、平板、手环等电子设备大部分都安装了数字气压计,给人们的生活带来了极大的便利。
2系统硬件2.1硬件总体框图本设计是基于MPX4115的数字气压计,硬件处理电路为大气压传感器模拟信号的采集、转换、处理和显示,并根据相应的软件需求设计控制程序。
气压计的硬件主要由4部分组成,分别为单片机最小系统、气压信号采集电路、ADC 转换电路和数码管显示电路。
2.2气压数据采集电路数据采集器件采用压力传感器MPX4115,其类型是硅压力传感器。
这种传感器在制造时引入了先进的微电机技术,薄膜镀金属。
工作温度范围是0℃-85℃,在此温度范围内误差不超过1.5%。
2.3气压信号转换电路ADC0832是常用的A/D 转换芯片,8位分辨率,转换时间短,是模拟量转换电路中常用的器件之一。
在本系统中,单片机所需的数字量信号是由气压传感器采集,然后交给ADC0832进行模数转换,并将转换结果传给单片机进行处理。
常用电路中,单片机与ADC0832之间采用4线制进行连接,ADC0832端的4个引脚依次采用DO、DI、CS、CLK。
但在通信过程中,单片机与ADC0832之间是单工通信,DO 引脚与DI 引脚并不需要同时使用,所以本系统中将DO 引脚和DI 引脚并联在一起进行分时使用。
CS 引脚输入高电平时,芯片禁用,ADC0832不能工作,此时其他引脚CLK、DO、DI 电平状态可任意设置。
数字系统设计 实验二报告(计09-1班 姚伟 08093342)

实验二:时序电路设计一.实验目的熟悉QuartusⅡ的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。
二.实验任务任务1:设计触发器,给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程。
任务2:设计锁存器,同样给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程。
三.实验过程1.新建一个文件夹,取名second。
2.输入源程序。
3.文件存盘,文件名为second,扩展名为.vhd。
4.创建工程,按照老师要求对软件进行设置。
5.进行失序仿真,得到仿真图形。
四.实验程序任务1:library ieee;use ieee.std_logic_1164.all;entity second isport(clk,d:in std_logic;q:out std_logic);end;architecture bhv of second issignal q1:std_logic;beginprocess(clk,q1)beginif clk'event and clk ='1'then q1<=d;end if;end process;q<=q1;end bhv;任务2:library ieee;use ieee.std_logic_1164.all;entity suocun isport (clk,d:in std_logic; q:out std_logic);end;architecture bhv of suocun isbeginprocess (clk,d)beginif clk='1'then q<=d;end if;end process;end;五.实验结果任务1:任务2:。
数字系统设计课件(自制)第4章

并口18-25是地线,其他线分成三类,8根数据线,可进行数据输出,5根状态线, 输入,四根控制线,输出。设置成JTAG口。
主系统通用 10针标准 配置/下载接口
PIN1
目标板10针标准 配置接口
OTP配置器件插座 配置器件插座 配置器件
将编程完毕的配置 器件插在相应的 电路系统上
4.2.4 PAL结构原理 结构原理
4.2 简单 简单PLD结构原理 结构原理
2.2.4 PAL结构原理 结构原理
4.2.5 GAL结构原理 结构原理
4.2 简单 简单PLD结构原理 结构原理
4.2.5 GAL结构原理 结构原理
(1)寄存器模式 )
4.2 简单 简单PLD结构原理 结构原理
(2)复合模式 ) 1、组合输出双向口结构 、
2.5.2 JTAG边界扫描测试 边界扫描测试
4.5 硬件测试
4.5.2 JTAG边界扫描测试 边界扫描测试
4.6 PLD产品概述 产品概述
4.6.1 Lattice公司的 公司的PLD器件 公司的 器件
1. ispLSI系列器件 系列器件 2. MACHXO系列 系列 3. MACH4000系列 系列 4. LatticeSC FPGA系列 系列 5. LatticeECP3 FPGA系列 系列
其他PLD公司: 公司: 其他 公司 ACTEL公司: ACT1/2/3、40MX 公司: 公司 、 ATMEL公司:ATF1500AS系列、40MX 公司: 系列、 公司 系列 CYPRESS公司 公司 QUIKLOGIC公司 公司
SO MUCH IC!
FPGA CPLD
三大可编程逻辑器件公司样片
封装形式 20 脚 PL 、32 脚 TQFP CC 8 脚 PDIP、20 脚PLCC 8 脚 PDIP、20 脚PLCC 8 脚 PDIP、20 脚PLCC、32 脚TQFP 8 脚 PDIP、20 脚PLCC、32 脚TQFP 8 脚 PDIP、20 脚PLCC、32 脚TQFP
基于深度学习的手写数字识别系统设计毕业设计

基于深度学习的手写数字识别系统设计毕业设计基于深度学习的手写数字识别系统设计一、引言在信息时代的今天,数字识别技术在各个领域都有广泛的应用,尤其是在金融、安防、物流等行业中,数字识别系统扮演着重要的角色。
然而,传统的手写数字识别方法在复杂场景下往往效果不佳。
为了提高数字识别的准确性和稳定性,本毕业设计将基于深度学习技术设计一个手写数字识别系统。
二、系统架构手写数字识别系统主要由以下几个模块组成:数据集准备、特征提取、模型训练和模型评估。
下面将对每个模块进行详细介绍。
2.1 数据集准备为了构建一个准确的手写数字识别系统,我们需要一个包含大量手写数字样本的数据集。
本设计将使用MNIST数据集,该数据集包含60000个训练样本和10000个测试样本,每个样本为28x28像素的灰度图像。
2.2 特征提取在深度学习中,卷积神经网络(Convolutional Neural Network,CNN)是一种有效的特征提取方法。
本设计将使用一个经典的CNN架构,包括卷积层、池化层和全连接层。
卷积层用于提取图像的局部特征,池化层用于降低特征的维度,全连接层用于将提取到的特征与标签进行映射。
2.3 模型训练在特征提取模块构建完成后,我们需要对模型进行训练。
本设计将使用反向传播算法(Backpropagation,BP)来更新模型的参数,以减小模型的预测误差。
同时,为了避免过拟合问题,我们将采用Batch Normalization和Dropout等技术进行模型的正则化。
2.4 模型评估为了评估手写数字识别系统的性能,我们将使用测试集对模型进行评估。
评估指标包括准确率、精确率、召回率和F1值等。
准确率指模型正确预测样本的比例,精确率指模型正确预测为正样本的比例,召回率指模型正确预测出正样本的比例,F1值综合考虑了精确率和召回率。
三、实验与结果为了验证基于深度学习的手写数字识别系统的效果,我们使用Python编程语言和TensorFlow深度学习框架进行实验。
北京理工大学数字系统设计与实验报告

3、仿真结果 功能仿真设置:
功能仿真结果: (1)总体仿真结果
(2)reset 高有效和二分频仿真结果
(3)四分频仿真结果
(4)八分频仿真结果
(5)十六分频仿真结果
四、实验心得
通过本次实验,设计频率可选的模十状态机以及 7 段译码电路,学会了以代码 形式实现频率多路选择器的功能,巩固了模十状态机的实现方式,进一步掌握 VerilogHDL 硬件描述语言。
功能仿真结果:
时序仿真设置:
时序仿真结果:
2、 3—8 译码器 (1)设计思路 随着时钟信号 clk 上升沿的到来,输入 D2D1D0 从 000 加到 111,每个输入 D2D1D0 对应着一个输出 Q7Q6Q5Q4Q3Q2Q1Q0,对应关系如真值表所示:
输入 D2 0 0 0 0 1 1 1 1 D1 0 0 1 1 0 0 1 1 D0 0 1 0 1 0 1 0 1 Q7 0 0 0 0 0 0 0 1 Q6 0 0 0 0 0 0 1 0 Q5 0 0 0 0 0 1 0 0 Q4 0 0 0 0 1 0 0 0
seg <= 7'b0011001; 5: seg <= 7'b0010010; 6: seg <= 7'b0000010; 7: seg <= 7'b1111000; 8: seg <= 7'b0000000; 9: seg <= 7'b0010000; default: seg <= 7'b0001000; endcase end endmodule (2)功能图
三、设计与实现
1、设计思路 (1) 、时钟信号 clk 作为分频器的输入,分频器的设计思路为设计一个模十六计 数器,cp0(Q0)输出即为二分频信号,cp1(Q1)输出即为四分频信号,cp2(Q2) 输出即为八分频信号,cp3(Q3)输出即为十六分频信号。分频器的输出由 4 选 1 多 路选择器的选择输入端 select 选择 2 分频、4 分频、 8 分频和 16 分频其中之一作为状 态机的时钟输入,当 select 为 0 时,输出为二分频信号;为 1 时,输出为四分频信 号;为 2 时,输出为八分频信号;为 3 时,输出为十六分频信号。 (2) 、reset 为高有效,则若 reset 信号为 1 时,qout 置为 0,则 now_state 为 0。 若 reset 信号为 0 时, qout 自加,并作为状态机的输入 驱动,让状态机按照 0->2->5->6->1->9->4->8->7->3->0 的顺序输出。 (3) 、状态机按照 0->2->5->6->1->9->4->8->7->3->0 的顺序输出,并使用此输出 作为驱动输入到 7 段译码器的显示逻辑。
数字图书馆系统的设计与实现

数字图书馆系统的设计与实现第一章概述数字图书馆是一种基于计算机和网络技术的文献资源整合和服务系统,其目的在于提供高效、便捷、安全的文献资源管理和利用方式。
数字图书馆系统是数字图书馆的核心组成部分,必须具备良好的设计和实现。
数字图书馆系统的设计与实现主要包含以下方面:1.需求分析;2.系统设计;3.系统实现;4.系统测试。
第二章需求分析需求分析是数字图书馆系统设计的首要步骤,主要包括以下内容:1.用户需求分析:通过对用户需求的调查和分析,确定数字图书馆系统的各项功能和特点;2.文献资源需求分析:通过对文献资源的评估和分析,确定数字图书馆系统的数据源和组织方式;3.系统性能需求分析:通过对数字图书馆系统的预期用户数量、系统请求量和数据处理能力等方面的评估和分析,确定数字图书馆系统的性能指标。
第三章系统设计数字图书馆系统设计主要包括以下方面:1.系统架构设计:包括数字图书馆系统的总体架构设计、核心模块和子系统设计等;2.系统功能设计:包括数字图书馆系统的各项功能设计、功能模块划分和功能实现流程等;3.数据库设计:包括数字图书馆系统的数据源设计、数据管理和数据查询方式等;4.系统安全设计:包括数字图书馆系统的用户认证和授权、安全日志和数据传输安全等方面的设计。
第四章系统实现数字图书馆系统实现主要包括以下步骤:1.开发环境配置:包括开发工具、运行环境、版本控制等;2.编码实现:根据系统设计方案,采用编程技术和数据库技术进行数字图书馆系统的实现;3.系统测试:包括功能测试、性能测试和安全测试等方面的测试;4.系统维护:包括系统升级、数据备份和恢复、用户反馈和维护等。
第五章总结数字图书馆系统的设计和实现需要综合考虑用户需求、文献资源和系统性能等方面,采用科学有效的方法进行系统设计和实现,从而提供高效、便捷、安全的服务。
数字图书馆系统的设计和实现是一项长期的工作,需要不断地进行更新升级和维护,以满足不断变化的用户需求和技术要求。
数字系统设计课件(自制)第2章

2.1
算法设计
2.1.2 跟踪法 跟踪法就是按照已确定的系统功能,由控制要求 逐步细化、逐步具体化,从而导出系统算法。
例2.2 试设计一个简易的5位串行码数字锁,该所 在受到5位与规定相符的二进制数码时打开,使相 应的灯点亮。试导出该串行码数字锁的算法流程图。 SETUP和START是外部输入控制信号,灯LT在 操作过程正确且5位串行码正确时燃亮,否则显示 错误的灯LF亮,同时喇叭告警(规定时限)。
2.1
算法设计
开始
WSETUP
OPR
N
SETUP=1? Y WAIT 数码正确 且操作正确? Y LT点亮
N LF点亮,喇叭报警
N
START=1?
Y
2.1
算法设计
2.1.3 归纳法 归纳法就是先把比较抽象的设计要求具体化,而后 再进行一般规律的归纳,由此推导出系统算法。具 体步骤为:先假设一组特定数据,从解决具体数据 处理和数据变换入手,从中发现普遍规律,最后求 导待设计系统的完整的算法流程图。
数据处理单元又叫受控电路,主要功能:数据存储、算术 和逻辑运算、数据传送和变换。 2.4.1器件选择 1.易于控制 2.满足非逻辑的约束要求 (1)性能因素:运行速度(ECL最快)、可靠性、可测试 性。 (2)物理因素。 (3)经济因素。
2.4.3数据处理单元设计实例
例2.11 试导出例2.2中5位串行 码数字锁的数据处理单元逻 辑电路图。 (1)导出逻辑框图 (2)选择器件 (3)串行数字锁控制信号序列 的确定
2.2
算法结构
2.2.1 顺序算法结构 执行算法的整个过程中,同一时间只进行一种或一组 相关的子运算。 在顺序算法结构中,若待处理数据是单个元素D,假 设它完成算法流程需要经历 l段,每段平均时间为△, 则所需要的运算时间为: t=l* △ 若待处理的数据是连续输入的数据流,则含有n个元素 的数据流总的运算时间为: Ts=n*t=n*l* △ 特点:执行速度较慢,但实现系统的硬件配置简单, 成本较低。
(完整word版)数字通信系统的设计与仿真

数字通信系统的设计与仿真摘要:数字通信系统是数字传输的过程,模拟信号到达接收端必须先将模拟信号转换成数字信号,数字信号在信道中传输会有损耗,因此合理的采用信道的编/译码和调制、解调是十分重要的,本实验采用systemview 进行仿真.关键字:眼图、误码率、调制、解调.1数字通信系统模型与原理1.1数字通信系统模型数字通信系统是利用数字信号来传递信息的通信系统,如图1所示.图1数字通信系统模型1.1.1 信源编码与译码信源编码有两个基本功能:一是提高信息传输的有效性,即通过某种数据压缩技术设计减少码元数目和降低码元速率.二是完成模/数(A/D)转换,即当信息源给出的是模拟信号时,信源编码器将其转换成数字信号,以实现模拟信号的数字化传输.信源译码是信源编码的逆过程.1.1.2 信道编码与译码信道编码的目的是增强数字信号的抗干扰能力.数字信号在信道传输时受到噪声等影响后将会引起差错.为了减少差错,信道编码器对传输的信息码元按一定的规则加入保护成分,组成所谓“抗干扰编码”.接收端的信道译码器按相应的规则进行解码,从中发现错误或纠正错误,提高通信系统的可靠性.1.1.3 加密与解密在需要实现保密通信的场合,为了保证所穿信息的安全,认为地将被传输的数字序列扰乱,即加上密码,这种处理过程叫加密.在接收端利用与发送端相同的密码复制品对收到的数字序列进行解密,恢复原来信息.1.1.4 数字调制与解调数字调制就是把数字基带信号的频谱搬移到高频处,形成适合在信道中传输的带通信号.基带的数字调制方式有振幅键控(ASK)、频移键控(FSK)、绝对相移键控、相对相移键控(DPSK).在接收端可以采用相干解调或非相干解调还原数字基带信号.对高斯噪声下的信号检测,一般用相关器或匹配滤波器来实现.1.1.5 同步同步是使收发两端的信号在时间上保持步调一致,是保证数字通信系统有序、准确、可靠工作的前提条件.按照同步的公用不同,分为载波同步、位同步、群同步和网同步.数字通信的主要特点(1) 抗干扰能力强,尤其是数字信号通过中继再生后可消除噪声积累(2) 数字信号通过差错控制编码,可提高通信的可靠性.(3) 由于数字通信传输一般采用二进制码,所以可使用计算机对数字信号进行处理,实现复杂的远距离大规模自动控制系统和自动数据处理系统,实现以计算机为中心的通信网.(4) 在数字通信中,各种消息(模拟的和离散的)都可变成统一的数字信号进行传输.在系统对数字信号传输情况的监视信号、控制信号及业务信号都可采用数字信号.数字传输和数字交换技术结合起来组成的ISDN 对于来自不同信源的信号自动地进行变换、综合、传输、处理、存储和分离,实现各种综合业务.(5) 数字信号易于加密处理,所以数字通信保密性强.数字通信的缺点是比模拟信号占带宽,然而,由于毫米波和光纤通信的出现,带宽已不成问题.2 系统的设计过程为了使数字信号在带通信道中传输,必须使用数字基带信号对载波进行调制,以使信号与信道的特性相匹配.这种用数字基带信号控制载波,把数字基带信号变换为数字带同信号的过程称为数字调制.在接收端通过解调器把带通信号还原成数字基带信号的过程称为数字解调.通常把包括调制和解调过程的数字传输系统叫做数字带通传输系统.一般来说,数字调制与模拟调制技术有的方法:把数字基带信号当作模拟信号的特殊情况处理;是利用数字信号的离散取值特点通过开关键控载波,2.1 信源编码模拟信号转换成数字信号包括三个步骤:抽样,量化,编码.(1) 抽样:把模拟信号在时间上离散化,变换为模拟抽样信号.(2) 量化:将抽样信号在幅度上离散化,变换成量化信号.(3) 编码:用二进制码元来表示有限的量化电平.抽样定理指出:设一个连续模拟信号m(t)中的最高频率〈f h ,则以间隔时间T〈1/2f h的周期性冲激脉冲对它抽样时,m(t)将被这些抽样值所完全确定.由于抽样时间间隔相等,所以此定理又称均匀抽样定理.例如模拟信号的最高频率为10hz,则采样频率为30hz.2.2 信道格雷码的编/译码数字信号在传输过程中,由于受到干扰的影响,码元波形将变坏,,接收端收到后可能发生错误判决,故采用GRAY编\译码方式来进行差错控制. 格雷码的编码和译码设备都不太复杂,而且检错的能力较强.格雷码除了具有线性码的一般性质外,还具有循环性.循环性是指任一码组循环一位(即将最右端的一个码元移至左端,或反之)后,仍为该码中的一个码组.2.3 2FSK信号的调制与非相干解调2.3.1 调制原理键控法:在二进制基带矩形脉冲序列的控制下通过开关电路对两个不同的独立频率进行选通,使其在每一个码元T s 期间输出 f1或f0两个载波之一, 图2所示.键控法产生的2FSK信号,是由于电子开关在两个独立的频率源之间转换形成,故相邻码元之间的相位不一定连续. 2FSK信号可以看成两个ASK的相加,图3所示.图2 键控法产生2FSK 信号的原理图图3 相位连续的2FSK 信号波形2.3.2 2FSK 信号的非相干解调2FSK 的非相干解调:其原理是将2FSK 信号分解为上下两路2ASK 信号分别进行解调,然后进行判决.这里的抽样判决是直接比较两路信号抽样值的大小,可以不专门设置门限.判决规则应与调制规则相呼应,调制时若规定“1”符号对应载波频率w 1,则接收时上支路的样值较大,应判为“1”;反之则判为“0”.2FSK 信号的非相干解调方框图如图4所示,其可视为由两路2ASK 解调电路组成.这里,两个带通滤波器(带宽相同,皆为相应的2ASk 信号带宽;中心频率不同,分别为w 1、w 2 起分路作用,用以分开两路2ASK 信号. 振荡器f 1选通开关 反相器 想加器 振荡器f 2 选通开关基带信号 2FSK 信号图4 2FSK信号非相干解调方框图2.4 模拟FIR滤波器的设计通过选择菜单上的”Filter/Analog”按扭,可以设计五种模拟滤波器.它们是:巴特沃斯,巴赛尔,切比契夫,椭圆,线性相位.这些滤波器可以是低通、高通或带通,所选滤波器的一般形状由滤波器的类型决定,需要输入的数据是滤波器的极点数、-3db带通或截止频率、相位纹波系数、增益等参数,按”finish”完成设计.低通滤波器:去掉信号中不必要的高频成分,降低采样频率,避免频率混淆,去掉高频干扰.带通滤波器:高通滤波器同低通滤波器的组合.对滤波器而言,所有频率都应是采样速率的分数,即相对的百分比系数.例如,系统的采样速率为1MHZ,所涉及的FIR低通滤波器的截止频率为50KH Z,则滤波器涉及窗口输入的截止频率为0.05(50KH Z/1MH Z),如果在滤波器前面连接的是抽样器或采样器的图符,则这些图符的频率也必须是滤波器采样速率的分数. 2.5 眼图分析眼图是指利用实验的方法估计和改善(通过调整)传输系统性能时在示波器上观察到的一种图形.观察眼图的方法是:用一个示波器跨接在接收滤波器的输出端,然后调整示波器扫描周期,使示波器水平扫描周期与接收码元的周期同步,这时示波器屏幕上看到的图形像人的眼睛,故称为“眼图”.从“眼图”上可以观察出码间串扰和噪声的影响,从而估计系统优劣程度.另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能.眼图的“眼睛” 张开的大小反映着码间串扰的强弱.“眼睛”张的越大,且眼图越端正,表示码间串扰越小;反之表示码间串扰越大当存在噪声时,噪声将叠加在信号上,观察到的眼图的线迹会变得模糊不清.若同时存在码间串扰,“眼睛”将张开得更小.与无码间串扰时的眼图相比,原来清晰端正的细线迹,变成了比较模糊的带状线,而且不很端正.噪声越大,线迹越宽,越模糊;码间串扰越大,眼图越不端正.眼图对于展示数字信号传输系统的性能提供了很多有用的信息:可以从中看出码间串扰的大小和噪声的强弱,有助于直观地了解码间串扰和噪声的影响,评价一个基带系统的性能优劣;可以指示接收滤波器的调整,以减小码间串扰.(1) 最佳抽样时刻应在“眼睛” 张开最大的时刻.(2) 对定时误差的灵敏度可由眼图斜边的斜率决定.斜率越大,对定时误差就越灵敏. 在抽样.(3) 时刻上,眼图上下两分支阴影区的垂直高度,表示最大信号畸变.眼图中央的横轴位置应对应判决门限电平.(4) 在抽样时刻上,上下两分支离门限最近的一根线迹至门限的距离表示各相应电平的噪声容限,噪声瞬时值超过它就可能发生错误判决.(5) 对于利用信号过零点取平均来得到定时信息的接收系统,眼图倾斜分支与横轴相交的区域的大小,表示零点位置的变动范围,这个变动范围的大小对提取定时信息有重要的影响.2.6 误码率分析对于二进制双极性信号,假设它在抽样时刻的点平取值为+A或-A(分别对应信码“1或“0”),在-A 和+A之间选择一个适当的电平V d作为判决门限,根据判决准则将会出现以下几种情况:(1) 对“1”码:当X>V d,判为“1”码(正确);当X<V d,判为“0”码(错误).(2) 对“0”码:当X<V d,判为“0”码(正确);当X>V d,判为“1”码(错误).假设信源发送“1”码的概率为P(1),发送“0”码的概率为P(0),则二进制基带传输系统的总误码率Pe= P(1) P(0/1)+ P(0) P(1/0) 其中P(0/1)= P(X<V d),P(1/0) = P(X>V d)3参数的设定(1)模拟信源:正弦函数,频率fs=10hz,幅度A=1V;。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
设计提示
• 鉴别锁存模块 • 答题计时模块 • 计分电路模块 • 扫描显示模块
鉴别锁存模块
• 1.此模块的关键是准确判断出第一抢答者 并将其锁存,实现的方法可使用触发器或 锁存器,在得到第一信号后将输入封锁, 使其它组的抢答信号无效。
• 游戏双方各持一个按钮,迅速地、不断地按动,产生 脉冲,谁按得快,亮点就向谁的方向移动,每按一次, 亮点移动一次。
• 亮点移到任一方终端二极管时,这一方就获胜,此时 双方按钮均无作用,输出保持,只有复位后才使亮点 恢复到中心。
• 由裁判下达比赛开始命令后,甲乙双方才能输入信号, 否则,输入信号无效。
• 设置1秒定时信号(周期为2秒),在1秒定时 时间内的所有被测信号送计数器输入端。
• 计数器对CP1信号进行计数,在1秒定时结束 后,将计数器结果送锁存器锁存,同时将计 数器清零,为下一次采样测量做好准备。
• 设置量程档控制开关K,单位显示信号Y,当 K=0时,为1999Hz量程档,数码管显示的数 值为被测信号频率值,Y显示绿色,即单位为 Hz;
S0 BAC=0E=1ຫໍສະໝຸດ BAC=1E=0 S3
BAD=0 S2
S1 E=0 E=1
BAD=1
状态编码:
S0=00 S1=01 S2=11 S3=10 若选JK触发器,其输出为Q2 Q1 则状态表为:
A B C D E Q2n Q1n Q2n+1 Q1n+1
x0xx x 0 0 0 1 10xx 0 0 0
1 维持S2
1
25秒定时
0 由S2——S3
0 维持S3
5秒定时
0 由S3——S0
从状态表得状态方程
Q2 n1 Q2 n EQ1n (Q1n E )Q2 n
Q1n1 Q1nQ2 n B( A C) Q1n [Q2 n B( A D )]
得触发器驱动方程:
J1 Q2n B( A C)
设计提示
• 号码输入显示控制模块 • 主控制模块 • 扫描显示模块
任务与要求
• 设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。 • 用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参
赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的 LED依此从左到右,或从右到左,其移动的速度应能调节。 • 当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应 能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。 若击中,则球向相反方向移动;若未击中,则对方得1分。 • 一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后 方能继续比赛。 • 设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每 计满21分为1局。 • 甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动 交换发球权,拥有发球权的一方发球才有效。
• 测量/校验选择模块* • 计数器模块 • 送存选择报警模块 • 锁存模块 • 扫描显示模块
测量/校验选择模块* 输入信号:选择信号selet
被测信号meas 测试信号test 输出信号:CP1 当selet=0时,为测量状态,CP1=meas; 当selet=1时,为校验状态,CP1=test。 校验与测量共用一个电路,只是被测信号CP1不同而已。
当K=1时,为1KHz10KHz量程档,被测信号频 率值为数码管显示的数值乘1000,Y显示红色, 即单位为KHz。
• 设置超出量程档测量范围示警信号alert。计数 器由四级十进制计数构成(带进位C)。若被 测信号频率小于1KHz(K=0),则计数器只进 行三级十进制计数,最大显示值为999.Hz,如
• 用红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测 车辆是否到来的信号。
• 主干道处于常允许通行的状态,支干道有车来时才允许通行。主 干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。
• 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒, 支干道每次放行25秒,设立45秒、25秒计时、显示电路。
• 3 红灯亮,禁止通行 黄灯亮,停车
5秒
具体设计
• 时钟产生电路产生1HZ系统时钟。 • 主控电路由两个JK触发器和逻辑门构成,其输
出经译码后,控制主干道三色灯R、G、Y和支 干道三色灯r、g、y。 • 三个定时器分别产生45秒、25秒、5秒定时信 号,电路都是计数器,并受主控电路和来自主、 支干道车辆信号控制,每当计满所需时间,即 向主控电路输出“时间到”信号,并使计数器 清零。
计数器驱动脉冲如图1-5-4所示
设: A:主干道车辆情况,有车为“1”,无车为“0” B:支干道车辆情况,有车为“1”,无车为“0” C:45秒定时信号,45秒定时已到为“1”,45秒定时未到为“0” D:25秒定时信号,25秒定时已到为“1”,25秒定时未到为“0” E:5秒定时信号,5秒定时已到为“1”,5秒定时未到为“0”
K1 Q2n B( A D )
J 2 EQ1n
K 2 Q1n E
45S、25S、5S定时器CP脉冲驱动方程
CP45 [Q2Q1 ( A B ) Q2Q1E]CP脉冲
CP25 [Q2Q1B Q2Q1E]CP脉冲
CP5 [Q1 Q2 ]CP脉冲
则主控电路和各定时电路如图1-5-3所示
0
维持S0
0
45秒定时
0 1xxx 0 0 0 1 1 1x x 0 0 0 xx xx0 0 1 0 x x xx1 0 1 1
1 由S0——S1
1
1 维持S1 1 由S1——S2
5秒定时
1 1 x0x 0 1 xxx x0 xxx x x x x0 x xx xx
11 1 111 11 1 10 1 10 0
3.具有自动校验和测量两种功能,即能用标准时钟校验测 量精度。
4.具有超量程报警功能,在超出目前量程档的测量范围时, 发出灯光和音响信号。
设计提示
• 脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式 为f=N/T,f为被测信号的频率,N为计数器所累计的脉冲个数,T 为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的 结果,就是被测信号的频率。
reset1=1时,可对闹铃进行设置。 状态显示信号(发光管): LD_alert:指示是否设置了闹铃功能; LD_h:指示当前调整的是小时信号; LD_m:指示当前调整的是分钟信号。
设计要求
• 设计一个能进行拔河游戏的电路。 • 电路使用15个(或9个)发光二极管表示拔河的“电子
绳”,开机后只有中间一个发亮,此即拔河的中心点。
设计要求
• 设计一个能进行时、分、秒计时的十二 小时制或二十四小时制的数字钟,并具 有定时与闹钟功能,能在设定的时间发 出闹铃音,能非常方便地对小时、分钟 和秒进行手动调节以校准时间,每逢整 点,产生报时音报时。
设计提示
• 主控电路 • 计数器模块 • 扫描显示
主控电路*
A、B:模式选择,AB=00为模式0,
• 用数码管显示获胜者的盘数,每次比赛结束自动给获 胜方加分。
设计提示
• 加/减计数器 • 译码器 • 得分计数显示电路
设计要求
设计一个可容纳四组参賽的数字式抢答器,每组设一个 按钮供抢答使用。抢答器具有第一信号鉴别和锁存功能, 使除第一抢答者外的按钮不起作用;设置一个主持人 “复位”按钮,主持人复位后,开始抢答,第一信号鉴 别锁存电路得到信号后,用指示灯显示抢答组别,扬声 器发出23秒的音响。 *设置犯规电路,对提前抢答和超时答题(例如3分钟) 的组别鸣笛示警,并由组别显示电路显示出犯规组别。
设计思路
• 用双向移位寄存器的输出端控制LED显示来模拟乒乓 球运动的轨迹,先点亮位于某一方的第1个LED,由击 球者通过按钮输入开关信号,实现移位方向的控制。
• 也可用计数译码方式实现乒乓球运动轨迹的模拟,如 利用加/减计数器的2个时钟信号实现甲、乙双方的击 球,由表示球拍的按钮产生计数时钟,计数器的输出 状态经译码驱动LED发亮。
果被测信号频率超过此范围,示警信号驱动灯 光、扬声器报警;若被测信号为1KHz10KHz (K=1),计数器进行四位十进制计数,取高 三位显示,最大显示值为9.99KHz,如果被测 信号频率超过此范围,报警。
左第一位 左第一位
设计要求
• 设计一个电子密码锁,在锁开的状态下输入密码,密码 共4位,用数据开关K1K10分别代表数字1、2、…、9、 0,输入的密码用数码管显示,最后输入的密码显示在最 右边的数码管上,即每输入一位数,密码在数码管上的 显示左移一位。可删除输入的数字,删除的是最后输入 的数字,每删除一位,密码在数码管的显示右移一位, 并在左边空出的位上补充“0”。用一位输出电平的状态 代表锁的开闭状态。为保证密码锁主人能打开密码锁, 设置一个万能密码,在主人忘记密码时使用。
设计提示
• 密码输入删除控制模块 • 寄存模块 • 比较模块 • 扫描显示 模块
脉冲按键电话按键显示器
设计要求
设计一个具有7位显示的电话按键显示器, 显示器应能正确反映按键数字,显示器 显示从低位向高位前移,逐位显示按键 数字,最低位为当前显示位,七位数字 输入完毕后,电话接通,扬声器发出 “嘟——嘟”接通声响,直到有接听信 号输入,若一直没有接听,10秒钟后, 自动挂断,显示器清除显示,扬声器停 止,直到有新号码输入。
设计思路
• 主控电路是核心,这是一个时序电路,其输入信号为:
• (1) 车辆检测信号(A,B);
• (2) 45秒、25秒、5秒定时信号(C,D,E)。
• 其状态表为:
• 状态 主干道
支干道
时间
• 0 绿灯亮,允许通行 红灯亮,禁止通行 45秒