实验四组合电路设计(二)(可编程实验)

合集下载

实验四组合电路设计(二)(可编程实验)

实验四组合电路设计(二)(可编程实验)

实验四组合电路设计(二)一、实验目的1、掌握设计逻辑组合电路的方法。

2、学会利用软件仿真和硬件实现对数字电路的逻辑功能进行验证和分析。

3、能够学会通过CPLD开发实现组合逻辑电路的功能。

二、实验内容(1)设计一个两个2位二进制相乘电路要求:a.写出实验内容的真值表及逻辑表达式。

b.编写出实现电路的VHDL语言程序。

c.用MAX+plus2进行仿真。

d.将编好的程序下载到CPLD芯片里,用发光二极管观测结果。

(2)一位二进制全减器电路设计要求:a.写出实验内容的真值表及逻辑表达式。

b.编写出实现电路的VHDL语言程序。

c.用MAX+plus2进行仿真。

d.将编好的程序下载到CPLD芯片里,观测结果。

(3)开关控制电路设计要求:a.写出实验内容的真值表及逻辑表达式。

b.编写出实现电路的VHDL语言程序。

c.用MAX+plus2进行仿真。

d.将编好的程序下载到CPLD芯片里,观测结果。

三、实验逻辑功能分析及预习情况(1)设计一个两个2位二进制相乘电路○1列真值表如下:11四、实验过程(1)启动MAX+plusII软件;(2)创建一个新工程;1)一个两个2位二进制相乘电路○1启动文本编译器;○2编译VHDL语言程序为Library ieee;Use ieee.std_logic_1164.all;Entity xiangcheng isPort(A,B:in std_logic_vector(1 downto 0);y:out std_logic_vector(3 downto 0)); End;Architecture xxx of xiangcheng isBeginprocess(A,B)variable ccc:std_logic_vector(3 downto 0); Beginccc:=A&B;case ccc iswhen "0000"=>y<="0000";when "0001"=>y<="0000";when "0010"=>y<="0000";when "0011"=>y<="0000";when "0100"=>y<="0000";when "0101"=>y<="0001";when "0110"=>y<="0010";when "0111"=>y<="0011";when "1000"=>y<="0000";when "1001"=>y<="0010";when "1010"=>y<="0100";when "1011"=>y<="0110";when "1100"=>y<="0000";when "1101"=>y<="0011";when "1110"=>y<="0110";when "1111"=>y<="1001";when others=>y<="ZZZZ";end case;end process;End;○3启动波形图编译器;○4时间分析图○5利用真值表验证所设电路的逻辑功能;○6经过验证保存仿真原理图。

2010级《可编程芯片技术》实验教学大纲及实验指导书

2010级《可编程芯片技术》实验教学大纲及实验指导书

可编程芯片技术实验指导书实验一 组合电路设计一、实验目的①熟悉QUARTUS II软件的VHDL使用及设计流程;②掌握进程、流程语句的应用;③学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验原理首先利用QUARTUS Ⅱ完成2选1多路选择器的文本编辑输入和仿真测试等步骤,测试通过之后,在此基础上实现双2选1多路选择器(即将两个2选1多路选择器进行组合实现双2选1多路选择器),并进行编译、仿真测试,通过之后,再在QUARTUS II中完成硬件连接并重新编译,然后在实验仪上进行硬件测试,以验证本实验项目设计的功能。

(具体步骤见书95页,目标芯片选择EP1C12Q240C8,Family:Cyclone; Package: PQFP;Pin count:240; Speed grade:8)3、 主要仪器及耗材本实验使用的主要仪器:PC机,实验仪。

四、实验内容和步骤1、在QUARTUS Ⅱ建立一个工程项目MUXK,建立一个完成2选1多路选择器的文本编辑文件(mux21a.vhd),编译、仿真测试,直到通过,并给出仿真波形。

其功能为:当s=‘0’和‘1’;时,分别有y<=‘a’和y<=‘b’。

分析下面参考代码中各语句的含义,以及该程序的整体功能。

在Quartus II上对该程序进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形。

ENTITY mux21a ISPORT(a, b, s: IN BIT; y: OUT BIT);END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS(a, b, s)BEGINIF s='0' THEN y<=a; ELSEy<=b; END IF;END PROCESS;END ARCHITECTURE one;2、在同一工程项目MUXK下,建立双2选1多路选择器MUXK的文本编辑文件(MUXK.vhd),且与mux21a.vhd在同一目录下。

可编程逻辑实验报告(3篇)

可编程逻辑实验报告(3篇)

第1篇一、实验目的1. 熟悉可编程逻辑器件(FPGA)的基本原理和操作方法。

2. 掌握使用FPGA进行数字电路设计的流程。

3. 学会使用FPGA进行简单数字电路的设计与实现。

二、实验器材1. FPGA开发板2. 编译器软件(如Xilinx ISE、Vivado等)3. 实验指导书4. 实验数据线三、实验原理可编程逻辑器件(FPGA)是一种基于半导体工艺的可编程数字电路,具有高集成度、高速度、可重构性强等特点。

FPGA通过内部逻辑单元(如查找表、触发器等)来实现各种数字电路功能。

本实验主要涉及以下原理:1. 数字电路基本原理2. 可编程逻辑器件(FPGA)的基本结构和工作原理3. 编译器软件的使用方法四、实验步骤1. 熟悉开发板(1)认识开发板上的各个模块,如时钟模块、输入输出模块、存储器模块等。

(2)了解开发板上各个模块的功能和作用。

2. 设计数字电路(1)根据实验要求,设计所需的数字电路。

(2)使用原理图或HDL语言进行电路描述。

3. 编译与下载(1)使用编译器软件对设计的数字电路进行编译。

(2)生成比特流文件。

(3)将比特流文件下载到FPGA中。

4. 测试与验证(1)观察FPGA上各个模块的输出信号,验证电路功能是否正确。

(2)使用示波器等仪器进行波形观察,进一步验证电路功能。

五、实验内容1. 设计一个4位全加器(1)原理图设计:使用原理图编辑器设计4位全加器电路。

(2)HDL语言设计:使用HDL语言描述4位全加器电路。

(3)编译与下载:将设计的电路编译成比特流文件,下载到FPGA中。

(4)测试与验证:观察FPGA上各个模块的输出信号,验证4位全加器电路功能是否正确。

2. 设计一个8位奇偶校验器(1)原理图设计:使用原理图编辑器设计8位奇偶校验器电路。

(2)HDL语言设计:使用HDL语言描述8位奇偶校验器电路。

(3)编译与下载:将设计的电路编译成比特流文件,下载到FPGA中。

(4)测试与验证:观察FPGA上各个模块的输出信号,验证8位奇偶校验器电路功能是否正确。

实验四 综合电路设计

实验四 综合电路设计

实验四综合电路设计一、实验设计任务与要求1、设计制作一个粮库温度监控报警系统,当粮库温库温度在正常范围(≤30℃)时,数显电路会周而复始的按顺序“2-0-1-3-0-5-2-2-5-0”显示数字。

2、当粮库温度超过正常范围(>30℃)时,数显电路不显示(消隐),蜂鸣器发出警报,红色发光二极管闪动。

二、实验所需器材与设备三、实验设计目的与原理实验目的1.熟悉555定时器的组成及功能,掌握555定时器的基本应用。

2.提高设计能力及动手操作能力。

3.掌握电路中各个部分的工作原理。

4.学会电路的测试与调试。

实验原理:1.555 定时器工作原理555 定时器的功能主要由两个比较器决定。

两个比较器的输出电压控制RS 触发器和放电管的状态。

在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1 的反相输入端的电压为 2VCC /3,C2 的同相输入端的电压为VCC /3。

若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。

如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。

它的各个引脚功能如下:1脚:外接电源负端VSS或接地,一般情况下接地。

2脚:低触发端3脚:输出端Vo4脚:是直接清零端。

当此端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:VC为控制电压端。

若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。

6脚:TH高触发端。

7脚:放电端。

该端与放电管集电极相连,用做定时器时电容的放电。

8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路VCC的范围为3 ~ 18V。

模拟电子技术实验内容的设计要求及设计方法

模拟电子技术实验内容的设计要求及设计方法

模拟电子技术实验内容的设计要求及设计方法实验一单管放大电路一.实验目的1.熟悉放大电路的基本工作原理。

掌握静态工作点Q,电压放大倍数Au,输入电阻ri,输出电阻ro的测量方法。

2.熟悉电路参数变化对静态工作点的影响及放大电路的频率特性的测量方法。

3.学习各类仪器的使用方法。

实验时间4小时。

二.设计一个静态工作点稳固的单管放大电路设计要求:静态工作点Uce=6V Ic=2mA 电源电压Vc=12V1.选取Rb1,Rb2,Rc,Re,C1,C2,Ce2.电压放大倍数空载Au= =100~150倍有载Au= =50~75倍三.实验内容1.测静态工作点Uce Ic。

2.测动态参数:加输入信号电压Us=50-100mV f=1KHz正弦波。

用示波器观察输出波形Uo,在不失确实条件下用晶体管毫伏表测量:Us Ui Uo UolUo—不加负载Rl时输出电压Uol—加负载Rl时输出电压3. 计算:Au= Uo/ Ui (无载)Au’= Uol/ Ui (有载)ro=(Uo/Uol-1) Rl四.深入的内容1.信号源的频率1KHz,Us保持不变,定性观察Rb1.Rc.RL的变化对静态工作点的影响,对Au与波形失确实影响,条件分别如下:(a)Rb1变化时,Rc、RL保持原先的数值不变。

(b)Rc变化时,Rb1、RL保持原先的数值不变。

(c)RL变化时,Rb1、Rc保持原先的数值不变。

2.测量电路的幅频特性幅频特性是指输入信号的频率与输出电压的关系曲线。

保持信号源Us的幅度不变,改变信号源Us的频率f,用晶体管毫伏表测量输出电压Uol。

五.设计与实验方法1.在设计静态工作点稳固的放大电路参数时应保证满足I2≥10Ib,Vb≥(3-5)Ube条件。

2.在做实验之前做好准备工作:检查每一根导线是否导通;检查三极管的好坏;测量各电阻的阻值,检查可调电阻(100K的电位器)的阻值是否可调,注意测量电阻的阻值时不能在电路里测量电阻,更不能在电路通电的状态下测量电阻;检查电容的好坏,可用万用表电容挡测量各电容的电容值,大容量的电容(电解电容)可用万用表电阻挡测量其充放电的过程,有充放电的过程说明电容是好的;检查学习机上的电源是否是12V;用示波器检查信号发生器是否输出正弦信号。

慧鱼拼装实验报告(3篇)

慧鱼拼装实验报告(3篇)

第1篇一、实验目的本次实验旨在通过慧鱼创意模型组合包,了解并掌握机器人拼装的基本原理和方法,培养动手实践能力和创新思维。

通过实际操作,学会使用慧鱼专用控制器和RoboPro软件,实现对机器人的编程和控制。

二、实验器材1. 慧鱼创意模型组合包2. 慧鱼专用电源3. 个人计算机4. 慧鱼专用控制器5. RoboPro软件三、实验原理慧鱼创意模型组合包是一种基于模块化设计的机器人拼装套件,通过不同的模块组合,可以拼装出各种形态的机器人。

慧鱼专用控制器是机器人的核心部件,负责接收来自计算机的指令,并控制机器人执行相应的动作。

RoboPro软件是机器人编程的工具,用户可以通过该软件编写程序,实现对机器人的控制。

四、实验步骤1. 搭建基础模型首先,根据实验指导书的要求,使用慧鱼创意模型组合包搭建一个基础模型。

基础模型通常包括底板、动力模块、传动模块、传感器模块等。

在搭建过程中,需要注意模块之间的连接方式和方向。

2. 安装传感器在基础模型的基础上,安装距离传感器。

距离传感器用于检测前方物体的距离,并将距离信息传递给控制器。

安装过程中,要确保传感器能够正常工作,并与控制器连接良好。

3. 连接电源和控制器将慧鱼专用电源连接到控制器上,并将控制器与计算机连接。

确保电源、控制器和计算机之间的连接稳定可靠。

4. 编程控制打开RoboPro软件,根据实验要求编写程序。

在编程过程中,需要了解各种模块的功能和编程语法。

编写完成后,将程序上传到控制器中。

5. 测试运行启动电源,观察机器人是否按照程序要求执行动作。

如果机器人运行正常,则实验成功。

如果存在问题,需要检查程序和硬件连接,并进行相应的调整。

五、实验结果与分析1. 实验成功通过本次实验,成功搭建了一个基础模型,并安装了距离传感器。

在RoboPro 软件中编写程序,控制机器人按照预定路径移动。

实验结果表明,慧鱼机器人具有较好的稳定性和可编程性。

2. 问题分析在实验过程中,遇到了以下问题:(1)部分模块连接不稳定,导致机器人运行时出现抖动现象。

实验四__可编程并行接口(一)

物理系微机实验报告
课程名称微型计算机技术及应用实验班级
实验名称实验四可编程并行接口(一)指导教师
学生姓名学生学号
一、实验目的
掌握8255方式0的工作原理及使用方法。

二、实验设备
1.PC机一台
2.专用导线若干
3.TPC-H通用微机接口实验系统一台
4.MASM汇编及调试程序
三、实验原理和内容
1.实验电路如图1口接逻辑电平开关K0~K7,A口接LED显示电路L0~L7。

2.编程从8255C口输入数据,再从A口输出。

注意:
a.8255控制寄存器端口地址28BH
b.A口的地址288H
c.C口的地址28AH
图1
实验的流程图2所示:
开 始
设置8255C口
输入A口输出
从C口输入数据
将此数据自A口输出
N
有键按下吗?
Y
结 束
图2
四、程序代码
code segment
assume cs:code
start:
Mov dx,28bh ;设8255为C口输入,A口输出
mov al,8bh
Out dx,al
inout: mov dx,28ah ;从C口输入一数据
in al,dx
mov dx,288h ;从A口输出刚才自C口
Out dx,al ;所输入的数据
Mov ah,1 ;判断是否有按键
int 16h
jz inout ;若无,则继续自C口输入,A口输出
Mov ah,4ch ;否则返回DOS
int 21h
code ends
end start。

dfgdgfdfgdfgfdgdgfdgdsg


74LS192引脚
74LS192状态转换图
电路仿真
• 1. 2. 3. 4. 5. 采用PROTEUS软件 加载器件 连接电路 设置时钟 运行仿真 记录显示状态
0-23加计数电路 个位 十位
0-23减计数电路
个位 十位
电路连接实验
• 按电路接线,检查无误接通电源 (5V) • 接入1Hz的时钟脉冲,观察并记 录显示器的结果。 • 与设计要求比较。
器件选择与电路设计
• • • • • 选择两片74LS192分为高位和地位。 高位计数0 - 2 三个状态 低位有0-9 采用置数法实现,选择192的PL控制端 加计数到“23”经7400译码产生置数信 号PL,置数“00” • 减计数到“00”由借位信号经7432译码 产生置数信号PL,置数“23”
指示灯驱动表1
运行 状态 前进 左传 右转
减速
开关 S1 S0 0 0 0 1 1
1
0
1
左灯尾 D0 D1 D2 灭 001 011 111 110 100 000 灭
CP CP CP
右尾灯 D3 D4 D5 灭 灭 100 110 111 011 001 000 CP CP CP
指示灯驱动表2
采用驱动表2的电路
实验报告
• 写出设计要求和选择的方案 • 画出设计电路,标出器件名称、引 脚名称和编号。 • 描述电路原理。 • 自行设计表格记录测试结果。 • 思考题:如果要使用12伏较大功率 的指示灯,驱动电路应如何考虑。
电路原理2
4 左转弯右转弯的实现: 利用194的S1 S2控制左移和右移的特性分别 实现左转弯和右转弯控制。 a 左转弯信号控制左移电路实现左转弯。 b 右转弯信号控制右移电路实现右转弯。 5 三状态流水效果的实现: 194是四位移位寄存器,左移电路采用Q1 Q2 Q3三个信号输出,将Q1反相输入到左移 信号输入端SL。在时钟的作用下实现状态 转换。

FPGA实验报告

南京理工大学泰州科技学院FPGA系统设计实验报告教材名称:FPGA系统设计与应用开发指导教师:周莉莉实验室:4401学院(系):电子电气工程学院专业班级:10电信(1)班姓名:周根生朱守超学号:1002040149 1002040150实验学期:2013-2014学年第一学期总评成绩:教师签字:南京理工大学泰州科技学院FPGA系统设计实验报告目录实验一Max+plusII原理图设计输入 (1)实验二简单逻辑电路设计与仿真 (6)实验三组合逻辑电路设计(一) (11)实验四组合逻辑电路设计(二) (16)实验五有限状态机的设计 (26)实验六数字频率计 (32)南京理工大学泰州科技学院FPGA系统设计实验报告课程: FPGA系统设计班级:10电信1班姓名:周根生朱守超学号:10020401491002040150指导教师:周莉莉实验日期:实验题目:Max+plusII原理图设计输入成绩:一、设计任务采用原理图设计输入法,设计一个具有四舍五入功能的电路,其输入为4位二进制数,要求输入大于或等于0101时,电路输出为高电平,小于0101时电路输出为低电平。

二、设计过程根据设计要求列出四舍五入的真值表,如图1.1所示。

图1.1 四舍五入真值表由图1.1可得化简的表达式为OUT=A+BD+BC,由逻辑表达式可知,要设计的电路图有四个输入端(A,B,C,D)和一个输出端OUT,整个电路由两个2输入端的与门和一个3输入的或门组成。

启动MAX+plusII,新建Graphic Editor file文件,后缀为.gdf。

在编辑界面空白处双击左键,出现输入元件对话框如图1.2所示,在Symbol Name栏中直接输入元件的符号名OK,输入端(input),输出端(output),连接电路如图1.3所示。

图1.2 操作图1.3 原理图芯片型号选择单击Assign,选择Device,如图1.4所示。

图1.4 型号引脚命名双击PIN_NAME,使其变黑后输入引脚名,并保存文件然后编译,如图1.5所示。

《数字电路与逻辑设计》实验考核抽测,2011年11月

实验一基本逻辑门逻辑实验1、实验器件:74LS00 1片,74LS28 1片2、实验内容:(1)测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。

(2)测试二输入四或非门74LS28一个或非门的输入和输出之间的逻辑关系。

(3)用与非门实现与门、或门的逻辑关系。

3、实验要求:设计电路,写出逻辑表达式,列出真值表,进行数据分析。

实验二简单组合电路设计1、实验器件:74LS00 1片74LS86 1片2、实验内容:试用与非门和异或门设计一个一位全加器。

3、实验要求:列出真值表,写出逻辑表达式,设计电路。

实验三数据选择器实验1、实验器件:74LS153 1片,示波器2、实验内容:测试74LS153中一个4选1数据选择器的逻辑功能。

四个数据输入引脚C0—C3分别接实验箱上的5 MHz、1 MHz、500 KHz、100 KHz脉冲源。

改变数据选择引脚B、A和使能端S的电平,产生8种不同的组合。

观测数据选择器输出波形的周期,计算频率。

3、实验要求:自己画出电路图,设计数据记录表格,填入所测数据,进行数据分析。

实验四 译码器实验(1)1、实验器件:74LS139 1片2、实验内容:测试74LS139中一个2—4译码器的逻辑功能。

四个译码输出引脚0Y —3Y 接电平指示灯。

改变 引脚S 、A 1、A 0的电平。

观测并记录指示灯的显示状态。

3、实验要求:画出电路图,自己设计数据记录表格,填入所测数据,进行数据分析。

实验五 译码器实验(2)1、实验器件:74LS139 1片2、实验内容:试用74LS139 2—4译码器扩展成3—8译码器。

输出引脚07Y Y 接电平指示灯。

改变引脚S 、A 1、A 0的电平。

观测并记录指示灯的显示状态。

3、实验要求:列出真值表,写出逻辑表达式,设计电路。

实验六 一位全加器的设计1、实验器件:74LS86 1片,74LS08 1片,74LS32 1片2、实验内容:试用与非门、与门、或门设计一个一位全加器。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验四组合电路设计(二)
一、实验目的
1、掌握设计逻辑组合电路的方法。

2、学会利用软件仿真和硬件实现对数字电路的逻辑功能进行验证和分析。

3、能够学会通过CPLD开发实现组合逻辑电路的功能。

二、实验内容
(1)设计一个两个2位二进制相乘电路
要求:
a.写出实验内容的真值表及逻辑表达式。

b.编写出实现电路的VHDL语言程序。

c.用MAX+plus2进行仿真。

d.将编好的程序下载到CPLD芯片里,用发光二极管观测结果。

(2)一位二进制全减器电路设计
要求:
a.写出实验内容的真值表及逻辑表达式。

b.编写出实现电路的VHDL语言程序。

c.用MAX+plus2进行仿真。

d.将编好的程序下载到CPLD芯片里,观测结果。

(3)开关控制电路设计
要求:
a.写出实验内容的真值表及逻辑表达式。

b.编写出实现电路的VHDL语言程序。

c.用MAX+plus2进行仿真。

d.将编好的程序下载到CPLD芯片里,观测结果。

三、实验逻辑功能分析及预习情况
(1)设计一个两个2位二进制相乘电路
○1列真值表如下:
1
○1列真值表如下:
四、实验过程
(1)启动MAX+plusII软件;
(2)创建一个新工程;
1)一个两个2位二进制相乘电路
○1启动文本编译器;
○2编译VHDL语言程序为
Library ieee;
Use ieee.std_logic_1164.all;
Entity xiangcheng is
Port(A,B:in std_logic_vector(1 downto 0);
y:out std_logic_vector(3 downto 0)); End;
Architecture xxx of xiangcheng is
Begin
process(A,B)
variable ccc:std_logic_vector(3 downto 0); Begin
ccc:=A&B;
case ccc is
when "0000"=>y<="0000";
when "0001"=>y<="0000";
when "0010"=>y<="0000";
when "0011"=>y<="0000";
when "0100"=>y<="0000";
when "0101"=>y<="0001";
when "0110"=>y<="0010";
when "0111"=>y<="0011";
when "1000"=>y<="0000";
when "1001"=>y<="0010";
when "1010"=>y<="0100";
when "1011"=>y<="0110";
when "1100"=>y<="0000";
when "1101"=>y<="0011";
when "1110"=>y<="0110";
when "1111"=>y<="1001";
when others=>y<="ZZZZ";
end case;
end process;
End;
○3启动波形图编译器;
○4时间分析图
○5利用真值表验证所设电路的逻辑功能;○6经过验证保存仿真原理图。

2)一位二进制全减器电路设计
○1启动文本编译器;
○2编译VHDL语言程序为
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
Entity quanjianqi is
Port(Ci,A,B:in std_logic;
F,Co:out std_logic);
End;
Architecture rel of quanjianqi is
Begin
process(Ci,A,B)
Begin
if(Ci='0'and A='0'and B='0') then
F<='0';
Co<='0';
elsif(Ci='0'and A='0'and B='1') then
F<='1';
Co<='1';
elsif(Ci='0'and A='1'and B='0') then
F<='1';
Co<='0';
elsif(Ci='0'and A='1'and B='1') then
F<='0';
Co<='0';
elsif(Ci='1' and A='0'and B='0') then
F<='1';
Co<='1';
elsif(Ci='1' and A='0' and B='1' ) then
F<='0';
Co<='1';
elsif(Ci='1' and A='1' and B='0' ) then
F<='0';
Co<='0';
else
F<='1';
Co<='1';
end if;
end process;
End;
○3启动波形图编译器;
○4时间分析图
○5利用真值表验证所设电路的逻辑功能;○6经过验证保存仿真原理图。

3)开关控制电路设计
○1启动文本编译器;
○2编译VHDL语言程序为
Library ieee;
Use ieee.std_logic_1164.all;
Entity kaiguan is
Port(A,B,C:in std_logic;
F:out std_logic );
End;
Architecture rel of kaiguan is
Begin
F<=(C and(A xnor B)) or ((not(C)) and (A xor B));
End;
○3启动波形图编译器可以的到波形图如下
○4时间分析图
○5利用真值表验证所设电路的逻辑功能;
○6经过验证保存仿真原理图。

五、实验感受
通过本次实验我掌握了设计逻辑组合电路的方法。

同时我更加熟悉了利用软件仿真和硬件实现对数字电路的逻辑功能进行验证和分析。

使我对MAX+plusII有了进一步的了解,通过本次实验我更加熟悉了此软件的基本操作方法。

同时让我了解到硬件可以用软件来完成,此软件的模拟仿真给我们学习数字电路有很大的帮助,形象的表达了信号的输出。

我也学会了利用软件仿真和硬件实现对数字电路的逻辑功能进行验证和分析,并且我学会了通过CPLD开发实现组合逻辑电路的功能。

相关文档
最新文档