重庆大学数字电子技术试卷2007-2008(1)答案

重庆大学数字电子技术试卷2007-2008(1)答案
重庆大学数字电子技术试卷2007-2008(1)答案

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

(完整版)重大模电试卷及答案

重庆大学《模拟电子技术(Ⅱ)》课程试卷 A卷 B卷 2010 ~2011学年 第 1 学期 开课学院: 电气 课程号:15012335 考试日期: 2010-12-30 考试方式: 开卷闭卷 其他 考试时间: 120 分钟 题号 一 二 三 总分 11 12 13 14 15 16 分值 20 10 10 12 12 12 12 12 得分 一、单项选择题:在下列各题中,将唯一正确的答案代码填入括号内(本大题共10小题,每空2分,共20分) 1.欲得到电压-电流转换电路,应在集成运算放大电路中引入( )。 (a) 电压串联负反馈 (b )电流并联负反馈 (c )电流串联负反馈 2. 图1为正弦波振荡电路,其反馈电压取自( )元件。 (a) L 1 (b) L 2 (c) C 1 3. 负反馈对放大器的影响是( ) A 、减少非线性失真 B 、增大放大倍数 C 、收窄通频带 图1 图2 4. 图2为单相桥式整流滤波电路,u 1为正弦波,有效值为U 1=20V ,f=50H Z 。若实际测 得其输出电压为28.28V ,这是由于( )的结果。 (a) C 开路 (b) R L 开路 (c)C 的容量过小 5. 图3为( )功率放大电路。 (a)甲乙类OCL (b)乙类OTL (c)甲乙类OTL 6. 共模抑制比K CMR 是( )之比。 (a)输入量中的差模信号与共模信号 (b)输出量中的差模信号与共模信号 (c)差模放大倍数与共模放大倍数(绝对值)。 7. PNP 管工作在饱和状态时,应使发射结正向偏置,集电结( )偏置。 (a )正向 (b) 反向 (c) 零向 8.如图4电路,设二极管为理想元件,则电压U AB 为( )V 。 (a) 0 (b) 5 (c)-8 图3 图4 9.抑制频率为100kHz 以上的高频干扰,应采用( )滤波电路。 (a)低通 (b)带通 (c)带阻 10. U GS =0V 时,能够工作在恒流区的场效应管是( ) 。 (a) 结型管 (b) 增强型MOS 管 (c) 耗尽型MOS 管 二、判断下列说法是否正确,凡对者打“ √ ”,错者打“ × ” (每小题2分,共10分) 1.一个理想对称的差分放大电路,只能放大差模输入信号,不能放大共模输入信号。( ) 2.对于正弦波振荡电路,只要满足自激振荡的平衡条件,就能自行起振。( ) 3.乙类互补对称OTL 电路中的交越失真是一种非线性失真。 ( ) 4.一般情况下高频信号发生器中采用LC 振荡电路。 ( ) 5.在整流电路中,一般采用点接触型晶体二极管。 ( ) + - 命题人: 熊兰 组题人: 审题人: 命题时间: 教 务处 制 学院 专业、班 年级 学号 姓名 公平竞争、诚实守信、严肃考纪、拒绝作弊 封 线 密

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

华南理工大学模电试题(附答案)

B 选择题(在题末的备选答案中选出一个正确答案的号码。每空1分,共14分) 1. 已知常温下26mV T U =,二极管D 正偏电压U D =0.6V ,电流I D =0.8mA ,其交流电阻 r D =( )。 A. 750Ω B. 32.5Ω C. 375Ω D. 16.25Ω 2. BJT 放大电路中,测得三个电极①、②、③对地电位分别为12V 、12.2V 、0V ,据此 可判定BJT 为( A )型三极管,其三个电极中①为( D )极。 A. PNP B. NPN C. 发射极 D. 基极, E. 集电极 3. 图1所示共射放大电路,设静态时CQ 5mA I =,晶体管 饱和管压降CES 0.6V U =,当输入信号幅度增大到一定值时,电路将首先出现( )失真,其输出波形的( )将削去一部分。 A. 截止 B. 饱和 C. 顶部 D. 底部 4. 在图1所示电路中,已知晶体管的100β=, be 1k r =Ω,i 20mV U =;静态工作时BEQ 0.7V U =,CEQ 5V U =,BQ 20uA I =, 相应的电压增益为( )。 A .u 1003 3001A ?=-=-& B .u 1001.5 1501 A ?=-=-& C .u -352502010A =-=-?& D .u 57.140.7 A =-≈-& 5. 根据不同器件的工作原理,试判断( )可以构成复合管。 (A ) (B )(C ) (D ) 6. 在设计两级放大电路的过程中,要求输入电阻i R 约为150k Ω,电压放大倍数的数值 u A &约为100,第一级电和第二级电路应采用( )。 A. 共集电路;共射电路 B .共基电路;共射电路 C. 共集电路;共基电路 D. 共射电路;共射电路 7. MOS FET 构成的两级放大电路,总电压增益u 2000A =倍,其中第一级的电压增益

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

模电试卷题库(含答案)

1.某个处于放大状态的电路,当输入电压为10mV,输出电压 为6.5V,输入电压为15mV时,输出电压为7V(以上均为直 流电压),它的电压增益为( C ) a、700 b、650 c、100 d、-100 2.当输入信号频率为f L或f H时,电压增益的幅值约下降为中 频时的( B ) a、05. b、0.7 c、0.9 d、1 3.当输入信号频率为fL或fH时, 电压增系下降了( B )。 A、2 dB B、3dB C、4dB D、6dB 4.某放大电路在负载开路时的输出电压为4V,接3KΩ的负载 电阻后输出电压降为3V,这说明放大电路的输出电阻为 ( C ) a、10KΩ b、2KΩ c、1 KΩ d、0.5KΩ 5.用两个AU相同的放大电路A和B分别对同一个具有相同内 阻的电压 信号进行放大,测试结果输出电压VOA>VOB,由此可知A比B ( B ) a、一样 b、差 c、好 d、无法判别 6.用两个放大电路A和B分别对同一电压信号进行放大。当输出端开路时V OA=V OB ,都接入负载R L时,测得V OA

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器

三、(本题30分) 由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 C R R CC u o

(3)输出Z的序列是0010001100 第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答:1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

模电试卷题库含答案

1.某个处于放大状态的电路,当输入电压为10mV,输出电压 为6.5V,输入电压为15mV时,输出电压为7V(以上均为直 流电压),它的电压增益为( C) a、700b、650 c、100 d、-100 2.当输入信号频率为f L或fH时,电压增益的幅值约下降为中 频时的( B) a、05. b、0.7c、0.9 d、1 3.当输入信号频率为fL或fH时, 电压增系下降了( B )。 A、2 dBB、3dBC、4dBD、6dB 4.某放大电路在负载开路时的输出电压为4V,接3KΩ 的负载 电阻后输出电压降为3V,这说明放大电路的输出电阻为(C) a、10KΩb、2KΩc、1 KΩd、0.5KΩ 5.用两个A U相同的放大电路A和B分别对同一个具有相同内阻的电压 信号进行放大,测试结果输出电压V OA>VOB,由此可知A比B( B ) a、一样 b、差 c、好 d、无法判别 6.用两个放大电路A和B分别对同一电压信号进行放大。当输出端开路时V =V OB,都接入负载R L时,测得V OA

a、虚短 b、虚断c、虚地d、以上均否 8.如图示,运放A为理想器件,则其输出电压V0为(A ) a、0V b、3V c、6Vd、9V 9.如图示,运放A为理想器件,则其输出电压V O为(C) a、9Vb、6Vc、0V d、3V 10.设VN、V P和V0分别表示反相输入端、同相输入端和输 出端,则V0与V N、V P分别成( D) a、同相、同相 b、反相、反相 c、同相、反相 d、反相、同相 11.若要将幅度为±Um的矩形波转变为三角波,则应选用( D ) A、反相比例运算电路?B、同相比例运算电路?C、微分运算电路 D、积分运算电路 半导体 12.N型半导体是在本征半导体中加入以下物质后形成的( D)。 a、电子b、空穴c、三价硼元素d、五价磷元素 13.半导体中有两种载流子,它们分别是(C) a、电子和受主离子b、空穴和施主离子 c、电子和空穴 d、受主离子和施主离子 14.在杂质半导体中,多数载流子的浓度主要取决于( B ) a、温度 b、杂质浓度 c、掺杂工艺d、晶体缺陷 15.在室温附近,当温度升高时,杂质半导体中浓度明显增加是(C ) a、载流子b、多数载流子c、少数载流子d、正负离子

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

模拟电路试卷及答案(十套)

模拟综合试卷一 一.填充题 1.集成运算放大器反相输入端可视为虚地的条件是a , b。 2.通用运算放大器的输入级一般均采用察动放大器,其目的是a, b 。 3.在晶体三极管参数相同,工作点电流相同条件下,共基极放大电路的输入电阻比共射放大电路的输入电阻。 4.一个NPN晶体三极管单级放大器,在测试时出现顶部失真,这是失真。 5.工作于甲类的放大器是指导通角等于,乙类放大电路的导通角等于,工作于甲乙类时,导通角为。 6.甲类功率输出级电路的缺点是,乙类功率输出级的缺点是 故一般功率输出级应工作于状态。 7.若双端输入,双端输出理想差动放大电路,两个输入电压u i1=u i2 ,则输出电压为 V;若 u i1=1500μV, u i2 =500μV,则差模输入电压u id 为μV,共模输入信号u ic 为μV。 8.由集成运放构成的反相比例放大电路的输入电阻较同相比例放大电路的输入电阻较。 9.晶体三极管放大器的电压放大倍数在频率升高时下降,主要是因为 的影响。 10.在共射、共集、共基三种组态的放大电路中,组态电流增益最; 组态电压增益最小;组态功率增益最高;组态输出端长上承受最高反向电压。频带最宽的是组态。 二.选择题 1.晶体管参数受温度影响较大,当温度升高时,晶体管的β,I CBO,u BE 的变化情况为()。 A.β增加,I CBO,和u BE 减小 B. β和I CBO 增加,u BE 减小 C.β和u BE 减小,I CBO 增加 D. β、I CBO 和u BE 都增加 2.反映场效应管放大能力的一个重要参数是() A. 输入电阻 B. 输出电阻 C. 击穿电压D. 跨导

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

模电试题及答案(大学期末考试题)

《模拟电子技术》期末考试试卷 一、填空题:(每空1分共40分) 1、PN结正偏时(),反偏时(),所以PN结具有()导电性。 2、漂移电流是()电流,它由()载流子形成,其大小与() 有关,而与外加电压()。 3、所谓理想二极管,就是当其正偏时,结电阻为(),等效成一条直线;当其反 偏时,结电阻为(),等效成断开; 4、三极管是()控制元件,场效应管是()控制元件。 5、三极管具有放大作用外部电压条件是发射结(),集电结()。 6、当温度升高时,晶体三极管集电极电流Ic(),发射结压降()。 7、三极管放大电路共有三种组态分别是()、()、()放 大电路。 8、为了稳定三极管放大电路的静态工作点,采用()负反馈,为了稳 定交流输出电流采用()负反馈。 9、负反馈放大电路和放大倍数AF=(),对于深度负反馈放大电 路的放大倍数AF=()。 10、带有负反馈放大电路的频带宽度BWF=()BW,其中BW=(), ()称为反馈深度。 11、差分放大电路输入端加上大小相等、极性相同的两个信号,称为()信号, 而加上大小相等、极性相反的两个信号,称为()信号。 12、为了消除乙类互补功率放大器输出波形的()失真,而采用()类互补 功率放大器。 13、OCL电路是()电源互补功率放大电路; OTL电路是()电源互补功率放大电路。 14、共集电极放大电路具有电压放大倍数(),输入电阻(),输出电阻() 等特点,所以常用在输入级,输出级或缓冲级。 15、差分放大电路能够抑制()漂移,也称()漂移,所以它广泛应用于() 电路中。

16、用待传输的低频信号去改变高频信号的幅度称为(),未被调制的高频信号 是运载信息的工具,称为()。 17、模拟乘法器输出与输入的关系式是U0=(),电路符号是()。 二、选择题(每空2分共30分) 1、稳压二极管是一个可逆击穿二极管,稳压时工作在()状态,但其两端电压 必须(),它的稳压值Uz才有导通电流,否则处于()状态。 A、正偏 B、反偏 C、大于 D、小于 E、导通 F、截止 2、用直流电压表测得放大电路中某三极管各极电位分别是2V、6V、2.7V,则三个电 极分别是(),该管是()型。 A、( B、 C、E) B、(C、B、E) C、(E、C、B) D、(NPN) E、(PNP) 3、对功率放大器的要求主要是()、()、()。 A、U0高 B、P0大 C、功率大 D、Ri大 E、波形不失真 4、共射极放大电路的交流输出波形上半周失真时为(),此时应该()偏置 电阻。 A、饱和失真 B、截止失真 C、交越失真 D、增大 E、减小 5、差分放大电路是为了()而设置的。 A、稳定Au B、放大信号 C、抑制零点漂移 6、共集电极放大电路的负反馈组态是()。 A、压串负 B、流串负 C、压并负 7、差分放大电路RE上的直流电流IEQ近似等于单管集电极电流ICQ()倍。 A、1 B、2 C、3 8、为了使放大器带负载能力强,一般引入()负反馈。 A、电压 B、电流 C、串联 9、分析运放的两个依据是()、()。 A、U-≈U+ B、I-≈I+≈0 C、U0=Ui D、Au=1

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

模电试卷及答案

一、VD1,VD2为理想二极管,其导通电压为0V,电路如图所示,画出u O的波形。(15分) 二、判别题:(21分) 1、若放大电路中三极管3个电极的电位分别为下列各组数值,试确定它们的电极和三极管的类型。 (a)①5V ②1.2V ③0.5V (b)①6V ②5.8V ③1V (c)①-8V ②-0.2V ③0V 2、某一放大电路中引入了直流负反馈和交流电流并联负反馈,这些反馈是否能够 (1)稳定输出电压() (2)稳定输出电流() (3)增加输出电阻() (4)增加输入电阻() (5)增大静态电流() (6)稳定静态电流() 三、某两级阻容耦合放大电路如图所示,已知β1= β2=40,试求:(24分) (1)各级电路的静态工作点; (2)各级电压放大倍数Au1,Au2和总电压放大倍数Au; (3)放大器输入电阻Ri和输出电阻Ro; (4)后级采用什么电路?有什么优点?

四、OTL电路如图所示,电容C足够大,三极管的饱和压降U CES=1V,求:(15分) (1)电路中VT1、VT2工作在哪一种方式? (2)R1、VD1、VD2的作用是什么? (3)电位器RP的作用是什么? (4)负载R L上能够得到的最大不失真输出功率P omax是多少? 五、如图所示电路属长尾式差动放大器。已知VT1、VT2为硅管。β=100,试计算(15分) (1)电路静态工作点Q; (2)差模电压放大倍数A ud; (3)差模输入电阻R id和输出电阻R od。

六、判断图示电路中反馈是何种交流反馈类型,若满足深度负反馈条件,求电压放大增益。(15分) 七、运算放大器应用电路如图所示,图中运放均为理想运放,U BE=0.7V。(15分)(1)求出三极管c、b、e各极对地电位; (2)若电压表读数为200mV,试求出三极管的β值。 九、具有放大环节的串联型稳压电路如图所示,已知变压器副边u2的有效值为16V,三极管VT1、VT2的β1= β2 =50,U BE1=U BE2=0.7V, U Z=+5.3V,R1=300Ω,R2=200Ω,R3=300Ω,Rc2=2.5kΩ。(15分) (1)估算电容C1上的电压U1=? (2)估算输出电压Uo的可调围。

相关文档
最新文档