四人表决器设计报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

四人表决器设计报告

1 进行逻辑抽象,包括三步:

a 确定输入变量和输出变量。

b 给变量赋值。

c 写真值表。

2 写出逻辑函数

3 将逻辑函数化简成适当形式

4 画出逻辑电路图

5 仿真

我用了两个三输入与非门(7410)和一个四输入与非门(7420)在ewb5.0。

相关文档
最新文档