VHDL的编码器和译码器的设计_(1)

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

长沙理工大学

《计算机组成原理》课程设计报告

蒋浩钧

学 院 城南学院 专 业 计算机科学与技术 班 级 计算机 指导教师 蔡 烁 学生姓名 学 号 课程成绩 完成日期

2012年7月1日

课程设计任务书

城南学院计算机科学与技术专业

课程名称计算机组成原理课程

设计时间2011~2012学年第一学期

19~20周

学生姓名指导老师蔡烁

题目

基于VHDL的编码器和译码器的设计

主要内容:

本课程设计要求利用硬件描述语言VHDL的设计思想,设计计算机电路中编码器和译码器的各个模块,系统设计要求采用自顶向下的设计方法,系统采用VHDL语言编程实现,并对各模块进行仿真验证和分析。

要求:

(1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义及现状研究分析。

(2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。

(3)学生按要求编写课程设计报告书,能正确阐述设计和实验结果。

(4)学生应抱着严谨认真的态度积极投入到课程设计过程中,认真查阅相应文献以及实现,给出个人分析、设计以及实现。

应当提交的文件:

(1)课程设计报告。

(2)课程设计附件(主要是源程序)。

课程设计成绩评定

学院城南学院专业计算机科学与技术

班级学号

学生姓名指导教师蔡烁

课程成绩完成日期2012年7月1日

指导教师对学生在课程设计中的评价

评分项目优良中及格不及格课程设计中的创造性成果

学生掌握课程内容的程度

课程设计完成情况

课程设计动手能力

文字表达

学习态度

规范要求

课程设计论文的质量

指导教师对课程设计的评定意见

综合成绩指导教师签字年月日

基于VHDL的编码器和译码器的设计

学生姓名:指导老师:蔡烁

摘要:编码器与译码器是计算机电路中基本的器件,本课程设计采用EDA技术设计编码和译码器。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例模块组成。课程设计采用硬件描述语言VHDL把电路按模块化方式进行设计,然后进行编程、时序仿真和分析等。课程设计结构简单,使用方便,具有一定的应用价值。

关键字:计算机电路;EDA;VHDL;编码器;译码器

the Design of Encoder and Decoder

Based on VHDL

Student: Advisor: Cai Shuo

Abstract:Encoder and Decoder is a basic computer circuit devices.This Curriculum design by EDA design encoder and decoder.Encoders from 8 - 3 priority encoder for example,and decoder includes 3 - 8 decoder and the 2 - 4 examples of the two decoder modules.And then to program, the timing simulation and analysis.Curriculum design, simple structure, easy to use and has a value.

Key words:Computer Circuit; EDA; VHDL; Encoder; Decoder

目录

1 引言............................................... 错误!未定义书签。

1.1 设计的目的....................................... 错误!未定义书签。

1.2 设计的基本内容................................... 错误!未定义书签。

2 EDA及VHDL简要介绍 (2)

2.1 EDA技术 (2)

2.2 VHDL语言 (2)

3 设计实现 (5)

3.1编码器的工作原理 (5)

3.2译码器的工作原理 (6)

3.3程序设计中各部分的设计 (8)

4 系统仿真及分析 (11)

4.1八-三优先编码器仿真及分析 (11)

4.2三-八译码器仿真及分析 (12)

4.3二-四译码器仿真及分析 (13)

结束语 (14)

致谢................................................ 错误!未定义书签。参考文献................................................ 错误!未定义书签。附录................................................ 错误!未定义书签。

相关文档
最新文档