数字电路与逻辑设计实验报告——数码管扫描显示控制器的设计与实现

数码管扫描显示控制器的设计与实现

一、六个数码管串行扫描电路

1.实验任务要求:

⑴掌握VHDL语言的语法规范,掌握时序电路描述方法

⑵掌握多个数码管动态扫描显示的原理及设计方法

2.设计思路和过程

用VHDL语言设计并实现六个数码管串行扫描电路,要求同时显示0、1、2、3、4、5这6个不同的数字图形到6个数码管上,仿真并下载验证其功能:

使用模6计数器产生一个M=6的信号,控制“数码管选通控制信号”cat的输出,低电平点亮管,使“0至5号”6个数码管依次点亮;同时控制数字的输出,依次输出0至5。由于使用了同一个模6计数器,使得cat的输出与数字的输出是同步的,第k号数码管亮时输出k.当时钟频率足够大时(6个数码管需要300Hz以上的频率),数码管能稳定显示,在视觉上表现为同时显示0、1、2、3、4、5这6个不同的数字图形到6个数码管上

3.V HDL 程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY shiyanshi1 IS

PORT(

clk,clear:IN STD_LOGIC;

cat:OUT STD_LOGIC_VECTOR(5 downto 0);

b:OUT STD_LOGIC_VECTOR(6 downto 0)

);

end shiyanshi1;

ARCHITECTURE shumaguan OF shiyanshi1 IS

SIGNAL q_temp: STD_LOGIC_VECTOR(2 downto 0);

BEGIN

PROCESS(clk)

BEGIN

IF(clk'event and clk='1') THEN

IF clear='0' THEN

q_temp<="000";

ELSIF q_temp="101" THEN

q_temp<="000";

ELSE

q_temp<=q_temp+1;

END IF;

CASE q_temp IS

WHEN "000" => cat <="111110"; --0

WHEN "001" => cat <="111101"; --1

WHEN "010" => cat <="111011"; --2

WHEN "011" => cat <="110111"; --3

WHEN "100" => cat <="101111"; --4

WHEN "101" => cat <="011111"; --5

WHEN OTHERS => cat <="111111";

END CASE;

CASE q_temp IS

WHEN "000" => b <="1111110"; --0

WHEN "001" => b <="0110000"; --1

WHEN "010" => b <="1101101"; --2

WHEN "011" => b <="1111001"; --3

WHEN "100" => b <="0110011"; --4

WHEN "101" => b <="1011011"; --5

WHEN OTHERS => b <="0000000";

END CASE;

END IF;

END PROCESS;

END shumaguan;

4.仿真波形图

5.仿真波形图分析

如图,clear为复位信号,cp为时钟信号,cat5至cat0为数码管选通控制信号,b为数码管所显示的数字图形。低电平时数码管亮,由图可知,六个管依次点亮,且点亮的数码管的序号与所显示的数字是同步对应的,即0至5号数码管点亮时显示数字0至5。当时钟频率足够大(大于300Hz)时,数码管可以稳定显示,视觉上表现为同时显示0、1、2、3、4、5这6个不同的数字图形到6个数码管上。

6.故障及问题分析

故障:下载到实验板上后不能正确显示数字

描述:VHDL程序、仿真波形图均没有错误,但实验板上数字图形处于倒置状

解决:最后发现分配管脚时b7至b0被错误的按a,b,c,d,e,f,g的顺序分配了。将其调整为b0至b7按a,b,c,d,e,f,g的顺序分配。

二、六个数码管滚动扫描电路,要求循环左滚动

1.实验任务要求:

⑴掌握VHDL语言的语法规范,掌握时序电路描述方法

⑵掌握多个数码管动态扫描显示的原理及设计方法

2.设计思路和过程

用VHDL语言设计并实现六个数码管滚动扫描电路,要求循环左滚动,始终点亮6个数码管,左出右进。状态为012345→123450→234501→345012→450123→501234→012345:

使用模6计数器产生一个M=6的信号,控制数字的输出,依次输出0至5;同时控制“数码管选通控制信号”cat的输出,低电平点亮管,使“0至5号”6个数码管依次点亮。当数字输出一个循环时产生一个信号flag,使cat暂时不变,然后数字进入下个循环,cat继续按模6计数器的变化方式改变。

这样做相当于数字每循环一次,cat与数字的变化相比延后一位。在视觉上表现为数字循环左滚动,始终点亮6个数码管,左出右进。状态为012345→123450→234501→345012→450123→501234→012345。

为了使数码管能稳定显示,加一个M值足够大的计数器充当分频器,使cat 停滞一次后,输出的数字CNTOUT进入下个循环,cat继续按模6计数器的变化方式改变,CNTOUT要经过M个循环(这M个循环里点亮的数码管的序号和所显示的数字的一一对应关系是稳定的)才能发出下个信号flag使cat再次停滞。

3.VHDL程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY shiyanshi2 IS

PORT(CLK:IN STD_LOGIC;

Y:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

CAT:OUT STD_LOGIC_VECTOR(5 DOWNTO 0);

SEL:OUT STD_LOGIC_VECTOR(2 DOWNTO 0)

);

END ENTITY shiyanshi2;

ARCHITECTURE ART OF shiyanshi2 IS

SIGNAL CNTOUT:STD_LOGIC_VECTOR(2 DOWNTO 0);

SIGNAL S,S1:STD_LOGIC_VECTOR(2 DOWNTO 0);

SIGNAL JS:STD_LOGIC_VECTOR(8 DOWNTO 0);

SIGNAL CLR: STD_LOGIC;

SIGNAL FLAG:STD_LOGIC;

BEGIN

P1: PROCESS(CLK,FLAG)

BEGIN

IF(CLK'EVENT AND CLK='1') THEN --检测clk高电平

IF CNTOUT="101" THEN --该段为模6计数器

CNTOUT<="000";

ELSE

CNTOUT<=CNTOUT+1;

END IF;

IF JS="100000000"THEN --该段为分频器

JS<="000000000";

ELSE

JS<=JS+1;

END IF;

CLR<=JS(8);

IF FLAG='1' THEN ----该段为控制数码管的滚动

IF S="101" THEN S<="000";

ELSE S<=S+1;

END IF;

ELSE

S<=S;

END IF;

SEL<=S;

END IF;

END PROCESS;

P2:PROCESS(CLR)

BEGIN

CASE CLR IS

WHEN '1' =>

IF CNTOUT="101" THEN

FLAG<='0';

ELSE

FLAG<='1';

END IF;

WHEN '0'=>FLAG<='1';

END CASE;

END PROCESS;

P3:PROCESS(S)

BEGIN

CASE S IS ----该段为几号数码管亮

WHEN "000"=>CAT<="111110";

WHEN "001"=>CAT<="111101";

WHEN "010"=>CAT<="111011";

WHEN "011"=>CAT<="110111";

WHEN "100"=>CAT<="101111";

WHEN "101"=>CAT<="011111";

WHEN OTHERS=>CAT<="111111";

END CASE;

END PROCESS;

P4:PROCESS(CNTOUT)

BEGIN

CASE CNTOUT IS ----该段为显示数字

WHEN "000"=>Y<="1111110";

WHEN "001"=>Y<="0110000";

WHEN "010"=>Y<="1101101";

WHEN "011"=>Y<="1111001";

WHEN "100"=>Y<="0110011";

WHEN "101"=>Y<="1011011";

WHEN OTHERS=>Y<="0000000";

END CASE;

END PROCESS;

END ARCHITECTURE ART;

4.仿真波形图

具体变化过程如下:

图甲:

图乙:

图丙:

5.仿真波形图分析

如图,CLK为时钟信号,Y为数码管所显示的数字图形,CNTOUT为输出的数字。SEL为点亮的数码管的序号,CAT5至CAT0为数码管选通控制信号。低电平时数码管亮。由图可知,当SEL未做延后处理时,点亮的数码管的序号和所显示的数字的一一对应关系是稳定的。CNTOUT经过M个循环后,SEL停滞一个时钟周期,然后继续变化,使得在视觉上表现为数字循环左滚动,始终点亮6个数码管,左出右进。图甲的状态为123450→234501;图乙为234501→345012;图丙为345012→450123。

6.故障及问题分析

故障一:一开始数码管不能稳定显示

分析:没有设计分频器,数码管的各个状态持续时间都不够长。

解决:设置M=256的分频器,使cat停滞一次后,数字要经过M个循环才能发出下个信号flag使cat再次停滞,即每个状态均持续M个循环,每个循环为6个时钟周期,共6*M个时钟周期。

故障二:增加分频器后数码管仍不能稳定显示

分析:数字CNTOUT经M循环发出信号flag使cat停滞后,进入下M个循环,但cat却始终不变,直到下一flag到来

解决:使cat每次停滞时间只有一个时钟周期。

三、总结和结论

通过本学期《数字电路与逻辑设计实验教程》的学习,我进一步巩固了数字电路与逻辑设计的相关知识,熟悉了数字逻辑器件、数字可编程逻辑器件,尤其是MAX7000S系列;掌握了QUARTUS软件的使用方法,学会了用QUARTUS设计原理图、仿真、下载验证;初步掌握了VHDL语言,学习了很多数字电路课程中的

逻辑器件的VHDL程序,学会了依照实验要求编写VHDL程序去实现一个电路、状态机。

通过本学期的学习,我收获的不止是知识,更重要的是分析、解决问题的能力。在学习用QUARTUS设计原理图时,我锻炼了分析实验要求、判断电路结构的能力,在编写VHDL程序时,尤其是设计和实现数码管扫描显示控制器时,分析问题、解决问题的能力显得更加重要。为了实现数字循环左滚动,经过反复思索,我选择了只对数码管选通控制信号cat进行处理的方案。然而在具体编写时却遇到了各种问题:如因忽略了分频器的设计导致数码管显示结果不稳定,同一进程放入了多个时钟信号等,最后都经过严密的分析排查一一解决。

遗憾的是因为经验的欠缺和面临的困难,自己曾经放弃了只处理数码管选通控制信号的方案,想改用处理显示数字的方案,最后又返回原来的设计,没有持之以恒,浪费了一些时间,使得最后一个实验没有时间完成了。

虽然这学期的实验已经结束,但我将永远铭记它,铭记从中学到的知识,铭记在其中培养的能力,铭记在勤奋思索、征服困难时洒下的汗水,我将带着这笔财富,在以后的学业和生活中昂然前行。

8位数码扫描显示电路设计实验

实验一基本组合电路设计 (1)实验目的:熟悉 Quartus U的文本输入设计法和原理图输入设计法,学习简单组合电路以及多层次电路的设计、仿真和硬件测试。 (2)实验内容: I.利用Quartus U完成2选1多路选择器的文本编辑输入。 【参考程序】: ENTITY mux21a IS PORT ( a, b, s: IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN PROCESS (a,b,s) BEGIN IF s = ' O' THEN y <= a ; ELSE y<= b ; END IF; END PROCESS; END ARCHITECTURE one ; n .将实验I中的2选1多路选择器生成一个元件 mux21a利用原理图输入设计方法实现图1-1的双2选1多路选择器,并将此文件放在同一目录中。最后进行编译、

仿真,并对其仿真波形作出分析说明。 川.对U进行引脚锁定及硬件下载测试。建议选择实验电路模式5,用键1(PIOO, 引脚号为1)控制sO;用键2(PIO1,引脚号为2)控制s1; a3、a2和a1分别接clock5(引脚号为16)、clockO (引脚号为93)和clock2 (引脚号为17);输出信号outy接扬声器spker (引脚号为129)。通过短路帽选择clock5接1024Hz 信号,clock0接256Hz信号,clock2接8Hz信号。最后进行编译、下载和硬件测试实验(通过选择键1、键2、控制s0、s1,可使扬声器输出不同音调)。 (3)实验报告: 1.实验U的原理图。 2•实验U的仿真波形报告分析说明。 3.实验川硬件测试的详细实验说明。 图1-1 双2选1多路选择器

北邮数字电路与逻辑设计实验-实验报告(下)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(下)> 实 验 报 告 班级: xxx 学院: xxx 实验室: xxx 审阅教师:姓名(班内序号): xxx 学号: xxx 实验时间: xxx 评定成绩:

目录 一、任务要求 (2) 1.基本要求 (2) 2.提高要求 (2) 二、系统设计 (2) 1.设计思路 (2) 2.总体框图 (4) 3.分块设计 (5) (1)分频器模块 (5) (2)4×4键盘输入模块 (5) (3)数码管显示模块 (6) (4)8×8 LED点阵显示模块 (6) (5)LCD液晶屏显示模块 (6) (6)中心模块 (6) 三、仿真波形及波形分析 (6) 1.分频器模块 (6) 2.4×4键盘输入模块 (7) 3.数码管显示模块 (7) 4.8×8 LED点阵显示模块 (8) 5.LCD液晶屏显示模块 (8) 6.中心模块 (8) 四、源程序 (9) 1.分频器模块 (9) 2.4×4键盘输入模块 (9) 3.数码管显示模块 (11) 4.8×8 LED点阵显示模块 (12) 5.LCD液晶屏显示模块 (19) 6.中心模块 (23) 五、功能说明及资源利用情况 (26) 六、故障及问题分析 (27) 七、总结和结论 (27)

一、任务要求 本电路可供甲乙二人进行猜拳游戏。通过不同的按键控制,选择多种出拳方式,显示猜拳的结果,实现猜拳游戏,防止了作弊的可能。 1.基本要求 1、甲乙双方各用4×4 键盘中的三个按键模拟“石头”、“剪刀”、“布”,一个按键为“确认”。4×4 键盘第一行为甲,第二行为乙; 2、裁判用4×4 键盘第三行的一个按键模拟“开”,一个按键为“准备”,一个按键为“复位”; 3、裁判宣布“准备”后,甲乙双方分别选择出拳方式并确认; 4、裁判“开”以后,用点阵的左右三列同时显示甲乙双方的猜拳选择(如下图所示),并用两个数码管显示甲乙的猜拳比分; 图1甲“布”,乙“剪刀”;甲“剪刀”,乙“石头” 5、猜拳游戏为五局三胜制。若甲乙双方出拳一致,则比分保持不变,双方重新出拳; 6、比赛结束后,用8×8 点阵显示甲乙获胜方; 7、复位后游戏重新开始。 2.提高要求 1、点阵显示增加游戏开机动画、结束动画; 2、为游戏增加音效; 3、在LCD1602 液晶屏上显示甲乙双方的猜拳比分; 4、自拟其他功能。 二、系统设计 1.设计思路 本电路分为6个模块,分别是中心模块(包含状态机)、8×8 LED点阵显示模块、数码管显示模块、LCD液晶屏显示模块、4×4键盘输入模块、分频器模块,各模块使用VHDL语言设计,顶层连接使

数码管扫描显示控制器设计与实现——数字电路实验报告

北京邮电大学 实验报告 实验名称:数码管扫描显示控制器设计与实现学院:信息与通信工程学院 班级: 姓名:

学号: 日期:2010年5月 索引 一.实验目的 (4) 二.实验所用仪器及元器件 (4) 三.实验任务要求 (4) 四.实验设计思路及过程 (5) 1.实验原理 (5) 2.设计思路 (5) 3.VHDL代码 (6) A.实验任务1 (6) B.实验任务2-I (9) C.实验任务2-II (12) 五.仿真波形及分析 (13) 1.仿真波形 (13) ①实验任务1 (13) ②实验任务2-I (14) 3.波形分析 (19) ①实验任务1 (19) ②实验任务2-I (19) 六.故障及问题分析 (20) 1.频率设置问题 (20) 2.触发问题 (20) 3.逻辑实现问题 (20)

七.本实验总结与结论 (21) 八.学期总结 (21) 九.参考文献 (21)

一.实验目的 1.掌握VHDL语言的语法规范,掌握时序电路描述方法 2.掌握多个数码管动态扫描显示的原理及设计方法 二.实验所用仪器及元器件 1.计算机 2.直流稳压电源 3.数字系统与逻辑设计实验开发板 三.实验任务要求 1.用VHDL语言设计并实现六个数码管串行扫描电路,要求同时显示0,1,2, 3,4,5这六个不同的数字图形到六个数码管上,仿真下载验证其功能。 2.用VHDL语言设计并实现六个数码管滚动显示电路。(选作) I.循环滚动,始终点亮6个数码管,左出右进。状态为:012345-123450- 234501-345012-450123-501234-012345 II.向左滚动,用全灭的数码管充右边,直至全部变灭,然后再依次从右边一个一个地点亮。状态为:012345-12345X-2345XX-345XXX-45XXXX- 5XXXXX-XXXXXX-XXXXX0-XXXX01-XXX012-XX0123-X01234-012345, 其中’X’表示数码管不显示。

数字电路与逻辑设计实验报告——数码管扫描显示控制器的设计与实现

数码管扫描显示控制器的设计与实现 一、六个数码管串行扫描电路 1.实验任务要求: ⑴掌握VHDL语言的语法规范,掌握时序电路描述方法 ⑵掌握多个数码管动态扫描显示的原理及设计方法 2.设计思路和过程 用VHDL语言设计并实现六个数码管串行扫描电路,要求同时显示0、1、2、3、4、5这6个不同的数字图形到6个数码管上,仿真并下载验证其功能: 使用模6计数器产生一个M=6的信号,控制“数码管选通控制信号”cat的输出,低电平点亮管,使“0至5号”6个数码管依次点亮;同时控制数字的输出,依次输出0至5。由于使用了同一个模6计数器,使得cat的输出与数字的输出是同步的,第k号数码管亮时输出k.当时钟频率足够大时(6个数码管需要300Hz以上的频率),数码管能稳定显示,在视觉上表现为同时显示0、1、2、3、4、5这6个不同的数字图形到6个数码管上 3.V HDL 程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY shiyanshi1 IS PORT( clk,clear:IN STD_LOGIC; cat:OUT STD_LOGIC_VECTOR(5 downto 0); b:OUT STD_LOGIC_VECTOR(6 downto 0) ); end shiyanshi1; ARCHITECTURE shumaguan OF shiyanshi1 IS SIGNAL q_temp: STD_LOGIC_VECTOR(2 downto 0); BEGIN PROCESS(clk) BEGIN IF(clk'event and clk='1') THEN IF clear='0' THEN q_temp<="000"; ELSIF q_temp="101" THEN q_temp<="000"; ELSE q_temp<=q_temp+1;

EDA课程设计---八位数码管扫描显示电路的设计

《EDA技术及应用》 课程设计报告 题目:八位数码管扫描显示电路的设计院(系):机电与自动化学院 专业班级:电气自动化技术1001 学生姓名: 学号: 指导教师: 2012年6月10日至2012年6月23日

《EDA技术及应用》课程设计任务书 一、设计题目 八位数码管扫描显示电路的设计 二、设计主要内容 本课题要求掌握使用Quartus II设计数字系统的设计思路和设计方法。学习VHDL基本逻辑电路的综合设计应用。掌握VHDL语言的语法规范,掌握时序电路描述方法。掌握多个数码管动态扫描显示的原理及设计方法。 设计一个八位数码管共阴极动态扫描显示控制电路,要求显示学生自己的学号。利用实验室设备完成系统设计并进行运行调试。 1、具体设计内容如下: (1)静止显示学号; (2)动态循环显示学号。 2、提供设计报告,报告要求包括以下内容:设计思路、设计输入文件、设计与调试过程、模拟仿真结果和设计结论。 三、原始资料 1、LED显示模块原理 LED有段码和位码之分,所谓段码就是让LED显示出“8.”的八位数据,一般情况下要通过一个译码电路,将输入的4位2进制数转换为与LED显示对应的8位段码。位码也就是LED的显示使能端,对于共阳级的LED而言,高电平使能。要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个LED,并在使能每一个LED的同时,输入所需显示的数据对应的8位段码。虽然8个LED是依次显示,但是受视觉分辨率的影响,看到的现象是8个LED同时工作。 多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。 2、系统结构图信号名与芯片引脚对照表

数码管显示控制器实验报告

课程设计实验报告 设计成员:****************专业年级:**************** 实验日期:**************** 指导老师:****************

一、实验目的; 设计简易数码管显示控制器 二、实验要求; 1.数码管显示器从自然数列开始,依次显示自然数列,奇数数列,偶数数列,音乐符号序列,然后再从自然数列开始显示的循环显示的功能。 2.数码管显示器具有很好的周期性与自动复位性。 3 .设计中由控制端的输出信号自动控制各显示端自动循环显示,数码管显示器可应用于由八段LED数码管作为显示的灯饰中。 三、实验器材; 555定时器、74LS160、74LS48、74LS02、74LS08、74LS86、滑动变阻器(5.6kΩ)、8段共阴数码管、电平开关、电阻(2kΩ、510Ω)、电容(10μF、0.3μF)。 四、设计方案; 该数码管显示器要实现循环显示四组不同的数列,即可以考虑设计四个显示端,每个显示端显示一组数列,让它们分别依次显示一次即实现了一个周期,再设计一个控制端,输出周期性的控制信号,并且每个数列显示端的周期是输出控制信号周期的四分之一,这样就可以让控制模块与显示模块实现周期的同步,即可解决循环显示的问题。 第一组数为自然数列,即可用十进制计数器(74ls160芯片)与七段译码器(74ls48芯片)和七段共阴数码管组成该数列的显示端,

在分析其它数列可发现每列中的数均为自然数,则可以考虑以自然数列显示端为基础,再进行改进,设计其它几个显示端。 由于计数器需要输入时钟信号,则系统中可以用NE555及相关元件组成一个多谐振荡器,作为信号发生模块,即时基电路。 而控制模块与显示端模块均具有周期性,而后者的周期为四个十进制计数器的计数周期,要是两个模块实现周期相同,则控制端的周期性应与十进制计数器的周期有关,即该模块可以以十进制计数器为基础进行设计。 五、电路设计; (1)信号发生模块设计 NE555芯片是一种模拟—数字混合电路芯片,它是由与非门和两个电压比较器及其他元件组成集成块,经常用来构成定时电路或矩形波、方波产生电路,本设计使用它构成多谐振荡器,该振荡器能产生稳定的时钟信号。多谐振荡器原理图如下: 图1 多谐振荡器原理图

数电实验报告:数码管显示控制电路设计

数字电子技术实验报告 实验五:数码管显示控制电路设计 一、设计任务与要求: 能自动循环显示数字0、1、2、3、4、1、3、0、2、4。 二、实验设备: 1、数字电路实验箱; 2、函数信号发生器; 3、8421译码器; 4、74LS00、74LS10、74LS90。 三、实验原理图和实验结果: 1、逻辑电路设计及实验原理推导: 将0、1、2、3、4、1、3、0、2、4用8421码表示出来,如下表: 表一用8421码表示 设想用5421码来实现8421码表示的0、1、2、3、4、1、3、0、2、4,故将0、1、2、3、4、5、6、7、8、9用5421码表示出来以与上表做对比: 表二用5421码表示:

观察表一,首先可得到最高位全为0,故译码器的“8”直接接低电平即可;对比表一和表二得,“4”位上的数字两表表示的数字是一样的,故“4”直接与5421码的“4”输出相连即可,即译码器的“4”连74LS90的“Q 3”端;表一的“2”位上的数字前五行与表二的“2”位上的数字前五行显示的一样,此时表二的“5”位上的数字均为0,表一的“2”位上的数字后五行与表二的“1”位上的数字后五行一样,此时表二上的“5”位上的数字均为1,故译码器的“2”要接的是实现函数表达式为1020Q Q Q Q +的电路;最后一位上没有明显的规律,可用卡诺图求得逻辑表达式,也即译码器的“1”要连接的是实现函数表达式为230130Q Q Q Q Q Q +的电路。至此,实验原理图即可画出了。 2、 实验原理图:

3、实验结果: 编码器上依次显示0、1、2、3、4、1、3、0、2、4。实验结果图如下:

南通大学数字逻辑设计实验报告数码管

南通大学计算机科学与技术学院计算机数字逻辑设计实验报告 实验名称使用数码管 班级物联网192 学号 姓名 指导教师杭月芹 日期2020.12.1 成绩

实验3 使用数码管 一、实验目的 1、掌握多输入-多输出的组合逻辑电路设计、逻辑表示及测试方法。 2、进一步熟悉采用Verilog设计电路,并利用Vivado完成设计录入、仿真以及将设计下载至FPGA进行板级验 证的硬件电路设计方法。 二、实验任务 利用七段数码管实现输入数字的输出。 三、实验环境 1、一台内存4GB以上,装有64位Windows操作系统和的PC机。 2、EGO1实验板一个。 3、Vivado 2017.4以上版本软件。 三、实验要求 1、实验预习阶段:预习实验内容及准备工作,并提交预习报告。复习相关知识,包括编码转换电路的工作原理、 数码管工作原理;拟定实验步骤及操作流程(利用Visio等绘图工具绘制),整理逻辑电路设计思路(组合电路包括:真值表、卡诺图、逻辑表达式等;时序电路包括:输出函数表达式、激励函数表达式、次态方程组、状态表或状态图等);利用Verilog设计源文件和仿真文件;设计实验验证方法和数据分析等。 2、利用Verilog设计编码转换电路,在Vivado中完成设计、仿真与硬件下载,记录并分析讨论实验结果的正确 性,最后给出测试结论及实物观察分析结果。 3、实验完毕,写出实验报告。 四、实验预习内容 1.实验电路设计原理及思路说明 七段数码管工作原理: 共阴极七段数码管内部结构如图。七个线形LED灯a~g构成七段,其位置序号参见图3.2.一端并接称为位码;一端分别接收电平信号以控制灯的亮灭,称为段码。点亮要求为:共阴型数码管位码接低电平,需点亮的段码接高电平。若数码管类型为共阳极,其点亮要求的电平正好相反。 该电路的输入/输出信号设计说明:

数电设计实验——数字显示电路

数字电子技术实验 ——数字显示电路

一、设计任务与要求 1.数字显示电路操作面板:左侧有16个按键,标号为0到15的数字,面板右侧有2个共阳极7段显示器; 2.设计要求:按下小于10的按键后,右侧低位7段显示器显示数字,左侧高位7段显示器显示0;当按下大于9的按键后,右侧低位7段显示器显示个位数字,左侧7段显示十位数字1.若同时按下几个按键,优先级别的顺序是15到0。 二、总体电路设计 1.原理框图 2. 整体设计电路图

3.电路整体分析 本次电路大体可分为三部分:开关及编码部分、译码部分和数码管显示部分。整体思想是由左侧的16个开关控制信号的输入。信号输入后由编码器编码输出,再进入与非门和加法器进行逻辑运算。之后进入译码器进行译码,译码输出后的信号输入数码管输出数字。 各部分电路具体的功能实现将在下面讲解。 4. 元件清单 按键开关×16 8—3线优先编码器74LS148×2 4输入与非门×2 四位二进制加法器×1 显示译码器74LS47×2 共阳极数码管×2 导线等若干 三、单元电路分析 1. 开关及编码部分

本部分负责电路的开关信号的输入和编码。 其中,16个按键开关分别对应的0至15的数字。由于所选用的74LS148编码器是低电平输入,所以我们将开关的初始状态连接高电平,改变状态连接低电平,开关公共端输出到编码器的输入端。由于我们要输入十六个数字,而一片74LS148只有8个输入端,故而选用两片级联的方式,即:将高位片的级联端EO 与低位片的EI相连。这样开关信号的15至8依次进入高位片的D7至D0;开关信号的7至0依次进入低位片的D7至D0。由此实现16个信号的输入并且优先级别顺序是15到0。 2.译码部分 本部分的功能是通过与非门和加法器的逻辑运算,把编码器输出信号变成适合译码器的输入信号。所需完成的变换主要有三: 编码器输出的信号是低电平有效,故需要把输出信号变成其反码。这由U3A到U3D四个与非门实现。将高低两位编码器的相同编号的输出端接 到同一与非门的输入。由于两片编码器只有一片工作,另一片输出高电 平,故而能实现取反的功能; 控制高位译码器的输入:高位需要显示1时,此时通过U4A到U4C三个与非门可以实现输出为1。此高电平除输入给高位译码器外,还作为加 法器的输入,帮助实现“加6”的功能;

数字电路与逻辑设计实验报告

数字电路与逻辑设计实验报告 数字电路与逻辑设计实验报告 摘要: 本实验旨在通过设计和实现数字电路和逻辑门电路,加深对数字电路和逻辑设 计的理解。实验过程中,我们使用了逻辑门电路、多路选择器、触发器等基本 数字电路元件,并通过实际搭建电路和仿真验证,验证了电路的正确性和可靠性。 引言: 数字电路和逻辑设计是计算机科学与工程领域的重要基础知识。在现代科技发 展中,数字电路的应用范围非常广泛,涉及到计算机、通信、控制等各个领域。因此,深入理解数字电路和逻辑设计原理,掌握其设计和实现方法,对于我们 的专业学习和未来的工作都具有重要意义。 实验一:逻辑门电路的设计与实现 逻辑门电路是数字电路中最基本的元件之一,通过逻辑门电路可以实现各种逻 辑运算。在本实验中,我们通过使用与门、或门、非门等逻辑门电路,设计并 实现了一个简单的加法器电路。通过搭建电路和进行仿真验证,我们验证了加 法器电路的正确性。 实验二:多路选择器的设计与实现 多路选择器是一种常用的数字电路元件,可以根据控制信号的不同,选择不同 的输入信号输出。在本实验中,我们通过使用多路选择器,设计并实现了一个 简单的数据选择电路。通过搭建电路和进行仿真验证,我们验证了数据选择电 路的正确性。

实验三:触发器的设计与实现 触发器是一种常用的数字电路元件,可以存储和传输信息。在本实验中,我们 通过使用触发器,设计并实现了一个简单的二进制计数器电路。通过搭建电路 和进行仿真验证,我们验证了二进制计数器电路的正确性。 实验四:时序逻辑电路的设计与实现 时序逻辑电路是一种特殊的数字电路,其输出不仅与输入信号有关,还与电路 的状态有关。在本实验中,我们通过使用时序逻辑电路,设计并实现了一个简 单的时钟电路。通过搭建电路和进行仿真验证,我们验证了时钟电路的正确性。实验五:数字电路的优化与综合 数字电路的优化与综合是数字电路设计中非常重要的环节。在本实验中,我们 通过使用逻辑代数和Karnaugh图等方法,对已有的数字电路进行了优化和综合。通过优化和综合,我们得到了更简化和高效的数字电路。 结论: 通过本次实验,我们深入学习了数字电路和逻辑设计的基本原理和方法。通过 设计和实现不同的数字电路,我们加深了对数字电路和逻辑设计的理解。通过 实际搭建电路和进行仿真验证,我们验证了电路的正确性和可靠性。通过数字 电路的优化和综合,我们得到了更简化和高效的数字电路。通过本次实验,我 们不仅学到了知识,还培养了动手实践和问题解决的能力,为今后的学习和工 作打下了坚实的基础。

数电课程设计报告-数码管显示控制器的设计实现分析

目录 引言1 1设计背景2 1.1设计任务3 1.2设计要求3 1.3指导思想4 2方案论证4 2.1方案说明4 2.2方案原理4 3电路的设计与分析5 3.1电路的总体设计5 3.2电路的原理框图5 3.3元电路的设计与分析6 3.3.1多谐振荡电路的设计与分析7 3.3.2计数电路的设计与分析8 3.3.3译码显示电路的设计与分析9 4.1脉冲产生电路的仿真10 4.2总电路的仿真11 5心得与体会12

引言 显示器件是电子设备中不可缺少的部分,从灯泡,阴极射线管(CRT,cathode-ray tube)显示器,到发光二级管(LED,light-emitting diode),液晶显示屏(LCD,Liquid CrystalDisplay),显示器件的发展伴随着电子技术的不断发展。目前,在小型便携式电子设备中,LED和LCD显示器件成为主要的显示器件,其中发光二级管和IJED数码管,主要用于状态指示和数字字符显示,LCD主要用于文字和图形显示。LED数码管是用发光二级管组成字符笔画或点阵,用于显示简单字符和图形。最常用的是七段LED数码管,它用发光二级管组成数字字符8的七段笔画,至少可以显示十六进制数字的十六个字符,再加上一个小数点显示,常用于在电子设备上显示数字字符串。其特点主要在于使用简单,价格低廉,显示亮度高,功耗小,器件可靠性很高。本设计即利用逻辑芯片来实现数码管的控制显示 1.设计背景 1.1设计任务 根据已知条件,完成对数码管显示控制器的设计、装配与调试。 1.2设计要求

(1)能自动一次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列), 1、3、5、7、9(奇数列),0、 2、4、6、8(偶数列),0、1、0、1、2、 3、 4、5、6、7(音乐符号序列);然后再从头循环; (2)打开电源自动复位,从自然数列开始显示。 1.3指导思想 本设计将采用几个基本的数字集成的74系列(74LS153,555)芯片来完成所需要的数字逻辑显示功能(在七段数码管上按规律显示特定的数字)。本设计具有逻辑清晰、设计巧妙的特点,能很好的符合课程设计的要求。 2.方案论证 2.1方案说明 该设计的关键是对74LS153的输入端的强制置数的处理,设计要求产生奇数,实际上就是将第一个74LS153的1C1强制置1;要求产生偶数,实际上就是把第一个74LS153的1C2强制置0;要求产生0-7的音乐符号,实际就是把第二个74LS153的2C3强制置0;也就是说产生十进制的的计数一直是不变的,它部的技术依然是0-9的计数,我们只是在外部改变了它的输出而已,因此我们这个方案采用一个最简易的方案:两个74LS160和两个74LS153,一个555作脉冲产生之用,一片74LS48译码,一个七段数码管作显示。 2.2方案原理 首先,用一个555构成多谐振荡器产生大约1HZ的脉冲,脉冲可以使74LS160正常工作循环产生0—9的十进制数作为74LS153的输入,用74LS160的低两位输出作为两个74LS153的地址输入控制其输出。74LS160每循环0—9一次就会产生进位输出为74LS160提供一个脉冲,使其计数一次,74LS160

数码管驱动电路设计与制作实训报告

数码管驱动电路设计与制作实训报告 一、实验目的: 通过本实验,学生应该能够掌握以下技能: 1. 理解数码管的结构和工作原理; 2. 理解集成电路的组成和作用; 3. 搭建简单的数字电路实验电路,并对其进行测试和调试; 4. 了解数字电路测试仪器的使用方法。 二、实验原理: 数码管的基本结构和工作原理 数码管是一种常见的数字显示器,它能够将数字信号转换成人能够识别的数字形式。数码管有共阴极数码管和共阳极数码管之分。 数码管的共阳极管脚接通正电压时,会点亮数字管,而共阴极管脚接通负电压时,会点亮数字管。一般来说,共阳极数码管比较亮度高,而共阴极数码管比较省电,但点亮时需要较高的电压。 集成电路的组成和作用 集成电路(IC)是指由多个晶体管、电容器、电阻器等元器件组成的电路芯片。它的主要优点是尺寸小、功耗低、成本低,同时还有很多其他的优点。 集成电路主要有数字集成电路和模拟集成电路之分。数字集成电路用于数字逻辑运算,比如门电路、寄存器电路、计数器电路等;模拟集成电路用于模拟电路,比如放大器电路、滤波器电路等。 搭建简单的数字电路实验电路 在本实验中,我们将会使用常用的电路元件(如集成电路、电阻、电容、二极管等)来搭建一个简单的数字电路,用于控制和驱动数码管显示数字。 了解数字电路测试仪器的使用方法 为了测试数字电路的性能,我们需要使用数字电路测试仪器。常用的数字测试仪器包括数字信号发生器、逻辑分析仪、示波器、数字电压表、串口调试助手等。 三、实验过程: 1. 收集数码管资料,并了解其工作原理。 2. 准备电路元件,并按照电路图搭建数字电路实验电路。

3. 用数字信号发生器产生频率为1Hz的脉冲信号,接到计数器电路中。 4. 将计数器的输出接到数码管的驱动电路中。 5. 打开数字电路测试仪器,对电路进行测试和调试,确保数字电路能够正常工作。 四、实验结果: 经过测试和调试,数字电路实验电路能够正常工作,能够显示数字。同时,我们还能够了解到数码管的结构和工作原理、集成电路的组成和作用,以及数字电路测试仪器的使用方法。 五、实验结论: 通过本次实验,我们成功掌握了数码管驱动电路的设计与制作方法,并了解了数字电路实验电路的基本原理和常用测试仪器的使用方法。这将有助于我们更好地理解和掌握数字电路技术。

数字电路与逻辑设计实验报告总结《数字电路与逻辑设计实验》实验报告

数字电路与逻辑设计实验报告总结《数字电路与逻辑设计实验》实验报告 **大学信息学院20XX年至2020年下学期《数字电路与逻辑设计实验》实验报告 实验名称:组合逻辑电路教师: 学号:xxxxxxxxx姓名: 序号: 33 上课日期:2020.4.11班级:周六三四节 一、 实验器材(芯片类型及数量) 芯片类型数量 QuartusII实验平台1台 7454芯片1片 四2输入与或非门74LS28芯片1片四2输入与非门74LS00芯片10片注释: 其中九片四2输入与非门74LS00芯片用于实验一,一片四2输入与非门74LS00芯片和其余器用于实验二。 二、 实验原理 数字电路按逻辑功能和电路结构的不同特点,可分为组合逻辑电路和时序逻辑电路两大类。组合逻辑电路是根据给定的逻辑问题,设计出能实现逻辑功能的电路。用小规模集成电路实现组

合逻辑电路,要求是使用的芯片最少,连线最少。一般设计步骤如下: 1、首先根据实际情况确定输入变量、输出变量的个数,列出逻辑真值表。 2、根据真值表,一般采用卡诺图进行化简,得出逻辑表达式。 3、如果已对器类型有所规定或限制,则应将函数表达式变换成与器类型相适应的形式。 4、根据化简或变换后的逻辑表达式,画出逻辑电路。 5、根据逻辑电路图,查找所用集成器的管脚图,将管脚号标在电路图上,再接线验证。 三、 实验内容及原理图 1. Analysis of binatorial Logic Circuits(组合逻辑电路分析) Aim: To get the logical function of the digital circuit(目的:得到数字电路的逻辑功能) • Step 1 get the logical function of the digital circuit(步骤1得到数字电路的逻辑功能) • Get the logical expression step by step from the input to the output.(从输入到输出,一步一步地获取逻辑表达式)

数字显示电路实验报告

《数字电子技术基础》课程实验报告 班级: 小组成员:

一、实验目的: 1、掌握基本门电路的应用,了解用简单门电路实现控制逻辑。 2、掌握编码器、译码和现实电路的设计方法。 3、掌握用全加器、比较器设置电路的方法。 二、实验要求: 一操作面板左侧有16个键,编号为0到15个数字,另在面板右侧配2个共阳七段显示器。设计一个电路:当按下小于10的按键后,右侧低位七段显示器显示数字,左侧七段显示器显示0;当按下大于9的按键后,右侧低位七段显示器显示个位数,左侧七段显示器显示1。若同时按下几个键,优先级别顺序是15到0。 三、实验原理图:

四、设计思想和基本原理 1、编码电路 16线-4线编码器输入信号为A15-A0,低电平有效,而A15的优先权最高,A0的优先权最低,输出Z3、Z2、Z1、Z0为4位二进制反码(即0000-1111)。可用第一片的输入端I7-I0分别接A15- A8,第一片的输入端I7-I0分别接A7- A0,显然第一片的优先权应高于第二片,只有当A15- A8无信号时才允许第二片工作。因此,将第一片的选通输出端YS和第二片的控制端S相连,即可实现上述功能。然后经过与非门,将Z3、Z2、Z1、Z0取反。 2、基本门电路、全加器电路 根据系统的要求,显示输入应为8421BCD码,可以采用加6的方法实现。当小于9时直接输入;当大于9时,将BCD码加6(溢出后相当于减10)且十位进一。

3、译码、显示电路 将经过编码、基本门电路和全加器电路得到的四位二进制经译码器得到8421BCD码,由于采用74LS47显示译码器,其输出为低电平有效,因此采用共阳数码管。 五、元件功能及管脚 1、编码器 ·用二进制代码表示某种特定含义的信息称为编码;实现编码功能的逻辑电路称为编码器。 ·优先编码器不需对输入变量施加约束条件。它允许几个输入端同时为有效电平。当几个输入端同时出现有效电平时,电路只对其中优先级别最高的一个进行编码。 ·74LS148 8线-3线BCD优先编码器 输入:0-7 ( I0~I7 )为数据输入端(低电平有效); EI(ST)为选通输入端。 输出:A2~A0 (Y3~Y1)为编码输出( 反码输出)端; GS (YEX)为扩展输出端; E0 ( YS )为选通输出端。 EI(ST):输入使能端 EI=0 允许编码;EI=1 禁止编码 GS(YEX):扩展输出端 GS=0时,表示A2、A1、A0有编码输出。 EO(YS):级联控制 EO与优先级别低的相邻编码器的EI端相连,EO=0时,允许 优先级别低的相邻编码器工作;否则禁止优先级别低的相 邻编码器工作。 2、译码器 ·把某种编码转化为对应的信息,这种组合逻辑电路称为译码器。译码器常分为二进制译码器、BCD译码器、显示译码器。

(完整word版)EDA实验报告-实验2-数码管扫描显示电路

暨南大学本科实验报告专用纸 课程名称 EDA 实验 成绩评定 实验项目名称 数码管扫描显示电路 指导教师 郭江陵 实验项目编号 02 实验项目类型 验证 实验地点 B305 学院 电气信息学院 系 专业 物联网工程 组号: A6 一、实验前准备 本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。EDAPRO/240H 实验仪主板的VCCINT 跳线器右跳设定为3.3V ; EDAPRO/240H 实验仪主板的VCCIO 跳线器组中“VCCIO3.3V ”应短接,其余VCCIO 均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT 跳线器组设定为 2.5V ;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO 跳线器组设定为3.3V 。请参考前面第二章中关于“电源模块”的说明。 二、实验目的 1、了解时序电路设计。 2、制作一个数码管显示的7段译码电路,以备以后调用。 三、实验原理 在电子电路显示部分里,发光二极管(LED )、七段显示数码管、液晶显示(LCD )均是十分常见的人机接口电路。通常点亮一个LED 所需的电流在5~20mA 之间,电流愈大,LED 的亮度也高,相对的使用寿命也愈短。若以10mA 导通电流来估算一个接5V 的串接电 阻值计算应为: (5-1.6)/10mA ≈0.34K Ω。 七段显示数码管分为共阳、共阴二种极性。它们等效成八个LED 相连电路。 共阴极七段显示器的LED 位置定义和等效电路 共阴极七段显示码十六进制转换表

四、实验内容 用拨码开关产生8421BCD 码,CPLD 器件产生译码及扫描电路,把BCD 码显示在LED 数码管上,通过改变扫描频率观察数码管刷新效果。 五、实验要求 学习在MAX+PLUS II 中使用VHDL 设计功能模块,并将所生成的功能模块转换成MAX+PLUS II 原理图的符号库,以便在使用原理图时调用该库。 六、设计框图 ◆ 动态共阴数码管扫描设计框图(程序为1-D ) ◆ 静态共阳数码管扫描设计框图(程序为1-S )

EDA设计课程实验报告数码管动态显示实验报告

EDA设计课程实验报告 实验题目:数码管动态显示实验 学院名称: 专业:电子信息工程 班级: 姓名:高胜学号 小组成员: 指导教师: 一、实验目的 学习动态扫描显示的原理;利用数码管动态扫描显示的原理编写程序,实现自己的学号的显示。 二、设计任务及要求

1、在SmartSOPC实验箱上完成数码管动态显示自己学号的后八个数字。 2、放慢扫描速度演示动态显示的原理过程。 三、系统设计 1、整体设计方案 数码管的八个段a,b,c,d,e,f,g,h(h是小数点)都分别连接到SEG0~SEG7,8个数码管分别由八个选通信号DIG0~DIG7来选择,被选通的数码管显示数据,其余关闭。如果希望8个数码管显示希望的数据,就必须使得8个选通信号DIG0~DIG7分别被单独选通,并在此同时,在段信号输入口SEG0~SEG7加上该对应数码管上显示的数据,于是随着选通信号的扫描就能实现动态扫描显示的目的。虽然每次只有1个数码管显示,但只要扫描显示速率足够快,利用人眼的视觉余辉效应,我们仍会感觉所有的数码管都在同时显示。 2、功能模块电路设 (1)输入输出模块框图(见图1) 图1 (2)模块逻辑表达(见表1) 表1(数码管显示真值表) clk_1k dig seg ↑01111111 C0 ↑10111111 F9

注:数码管显示为01180121 (3)算法流程图(见图2) (4)Verilog源代码 module scan_led(clk_1k,d,dig,seg); //模块名scan_led input clk_1k; //输入时钟 input[31:0] d; //输入要显示的数据output[7:0] dig; //数码管选择输出引脚

单片机数码管动态显示实验报告

单片机数码管动态显示实验报告单片机数码管动态显示实验程序(汇编) 单片机数码管动态显示实验程序 org 00h ajmp head org 0030h head: mov sp,#0070h num equ p0 ;p0口连接数码管 reset: mov dptr ,#tab mov r0,#4 sh: acall show_tab call dptr_add djnz r0,sh mov r0 ,#4 sjmp reset dptr_add: inc dptr inc dptr inc dptr inc dptr

ret tab : db 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,88H,83H,0C6H, 0A1H,86H,8EH ;;;;;;;;;;;;;;;;;;;;; 函数的功能是用来动态显示dptr上的四个数 据 ;;;;;;;;;;;;;;;;;;;;;; show_tab: clr a mov r2,#0 mov r3,#148 mov p2,#238 loop: movc a,@a+dptr mov num ,a acall delay_5ms inc r2 mov a,r2;调用片选函数前注意A的变化acall select_mov cjne r2,#4,loop mov r2,#0 clr a djnz R3,loop ret ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;;;;;;;;;;;;;;;;;;;;;;;;

数字电路与逻辑设计实验

数字电路与逻辑设计实验报告学院: 班级: 姓名: 学号: 日期: 一.实验名称: 实验一:QuartusII 原理图输入法设计与实现 实验二:用VHDL 设计与实现组合逻辑电路 实验三:用VHDL 设计与实现时序逻辑电路 实验四:用VHDL 设计与实现数码管动态扫描控制器 二.实验所用器件及仪器: 1.计算机 2.直流稳压电源 3.数字系统与逻辑设计实验开发板 三.实验要求: 实验一: (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 (2)用〔1实现的半加器和逻辑门设计实现一个全加器,仿真并验证其功能,并下载到实验板上测试。要求用拨码开关设定输入信号,发光二极管显示输 出信号。

(3)用3—8线译码器和逻辑门设计实现函数F=/C/B/A+/CB/A+C/B/A+CBA,仿真验证其功能并下载到实验板测试。要求用拨码开关设定输入信号,发光 二极管显示输出信号。 注:实验时将三个元器件放在一个new block diagram中实现。 实验二: (1)用VHDL语言设计实现一个共阴极7段数码译码器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,7段数码管显示输出 信号。 (2)用VHDL语言设计实现一个8421码转余三码的代码器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示 输出信号。 (3)用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个‘1’时,输出1,否则出0;仿真验证其功能,并下载到实验板测试。要求用拨码开关设 定输入信号,发光二极管显示输出信号。 实验三: (1)用VHDL语言设计实现一个带异步复位的8421 十进制计数器,仿真验证其功能,并下载到实验板测试。要求用按键设定输入信号,发光二极管显示 输出信号。 (2)用VHDL语言设计实现一个分频系数为12,输出信号占空比为50%的分频器,仿真验证其功能。 注:实验时将〔1、〔2和数码管译码器 3 个电路进行,并下载到实验板显示计数结果。 实验四: (1)用VHDL语言设计实现六个数码管串行扫描电路,要求同时显示 0,1,2,3,4,5这几个不同的数字图形到数码管上,仿真验证其功能,并下载到实验板测试。 (2)用VHDL语言设计实现六个数码管滚动显示电路: A.循环左循环,左进右出。状态为: 012345->123450->234501->345012->450123->501234->012345 B.向左滚动,状态为〔X表示数码管不显示: 012345->12345X->2345XX->345XXX->45XXXX->5XXXXX->XXXXXX->XXXXX0->XXXX 01->XXX012->XX0123->X01234->012345 四.实验原理及设计思路:

相关主题
相关文档
最新文档