四人智力抢答器课程设计报告

合集下载

四人抢答器课程设计报告

四人抢答器课程设计报告

电子技术课程设计智力竞赛抢答器姓名:郑亚林班级:08级电子一班学号:200800800461指导老师:李素梅四人智力竞赛抢答器一、设计目的1、掌握四人智力竞赛抢答器电路的设计。

2、熟悉数字集成电路的设计和使用方法。

3、掌握应用Multisim软件对电路的设计及仿真二、设计任务与要求1、设计任务设计一个可供4名选手参加比赛的智力竞赛抢答器的数字电路。

设定答题时间为30秒倒计时,时间到时,蜂鸣器会报警至主持人按下复位键。

选手抢答时,数码显示选手组号,同时计分部分对应选手组别的发光二极管发光,在30秒内答对时主持人按下加分键,给相应的组别加一分。

2、设计要求(1)4名选手编号为:1,2,3,4。

各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为J1,J2,J3,J4。

(2)给主持人设置一个控制按钮J5,用来控制系统清零(抢答显示数码管灭灯)和一个加分按钮J6,用来给同学加分,设定最高分为9(3)抢答器具有数据锁存和显示的功能。

抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。

抢答选手的编号一直保持到主持人将系统清零为止。

(4)抢答器具有定时30秒答题倒计时的功能。

当选手按下抢答按钮后,开始倒计时,显示器显示倒计时间,倒计时结束时,扬声器响,保持到主持人将系统清零为止。

三、四人智力竞赛抢答器电路原理及设计1、设计思路抢答器整个系统可分为三个主要模块:抢答鉴别模块、计分模块、答题倒计时模块。

即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用数码管把选手的编码显示出来,对应加分模块的发光二极管也会发光。

当有选手抢答成功后,30秒答题倒计时开始跑秒,若选手在规定的时间内答对题,主持人按下J6给相应的选手加一分并且按下J5,系统清零。

2、系统框图抢答开始后,当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。

四人抢答器设计报告

四人抢答器设计报告

四人抢答器设计报告一、设计任务及要求1、设计用于竞赛的四人抢答器(1)有多路抢答器,台数为四;(2)具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警;(3)能显示超前抢答台号并显示犯规报警;2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响起,直至该路按键放松,显示牌显示该路抢答台号;3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路;4、完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。

二、四人抢答器框图及设计说明系统复位后,反馈信号为一个高电平,K1、K2、K3、K4输入有效。

当抢答开始后,在第一位按键后,保持电路低电平,同时送显示电路,让其保存按键的台号并输出,同时反馈给抢答台,使所有抢答台输入无效,计时电路停止;当在规定的时间内无人抢答时,倒计时电路输出超时信号;当主持人开始说话未说完有人抢先按键时,显示犯规信号。

当选手回答正确时加分,回答错误时减分。

由主持人控制加减分数。

三、设计思路:根据设计框图和设计要求,本次实验可以采用模块化设计方法来实现智力竞赛四人抢答器。

将抢答器划分为抢答鉴别保持模块,倒计时模块,记分模块和判断显示模块。

再利用元件例化语句将这四个模块组成总的抢答器的设计电路。

选用模式五进行程序的下载。

四、VHDL语言设计与分析1、鉴别模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jianbie isport(nu1,nu2,nu3,nu4:in std_logic;clk,en,rst:in std_logic;warn:out std_logic;back:buffer std_logic;s:out std_logic_vector(3 downto 0));end jianbie;architecture jianbiebeh of jianbie issignal num,warnd:std_logic;signal cnt:std_logic_vector(2 downto 0);beginnum<=nu1 or nu2 or nu3 or nu4;p1:process(rst, nu1,nu2,nu3,nu4,back) --判断抢答信号beginif rst='1' then back<='1';s<="0000";elsif back='1' thenif nu1='1' then s<="0001";back<='0'; --一号台抢答,输出S为1 elsif nu2='1' then s<="0010";back<='0'; --二号台抢答,输出S为2elsif nu3='1' then s<="0011";back<='0'; --三号台抢答,输出S为3 elsif nu4='1' then s<="0100";back<='0'; --四号台抢答,输出S为4 else back<='1'; s<="0000"; --无人抢答,输出S为0end if ;end if;end process p1;p2:process(clk,en,back,rst,cnt)beginif rst='1' then cnt<="000";warnd<='0';elsif clk'event and clk='1' thenif en='0' and back='0' thenif cnt<"111" then warnd<=not warnd; cnt<=cnt+1;else warnd<='0';end if; end if;end if;end process p2;warn<=warnd;end jianbiebeh;鉴别保持模块由两个进程组成,进程一主要用于鉴别强大信号,进程二用于鉴别是否为超前抢答,若是超前抢答,则输出报警信号。

2022年四人智力竞赛抢答器实验报告

2022年四人智力竞赛抢答器实验报告

四人智力竞赛抢答器设计一台可供4名选手参与比赛旳智力竞赛抢答器。

用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器持续响1秒。

选手抢答时,数码显示选手组号,同步蜂鸣器响1秒,倒计时停止。

(1)4名选手编号为:1,2,3,4。

各有一种抢答按钮,按钮旳编号与选手旳编号相应,也分别为1,2,3,4。

(2)给主持人设立一种控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答旳开始。

(3)抢答器具有数据锁存和显示旳功能。

抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示屏上显示该编号,同步扬声器给出音响提示,封锁输入编码电路,严禁其她选手抢答。

抢答选手旳编号始终保持到主持人将系统清零为止。

(4)抢答器具有定期(9秒)抢答旳功能。

当主持人按下开始按钮后,定期器开始倒计时,定期显示屏显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。

参赛选手在设定期间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同步定期器停止倒计时,抢答显示屏上显示选手旳编号,定期显示屏上显示剩余抢答时间,并保持到主持人将系统清零为止。

(5)如果抢答定期已到,却没有选手抢答时,本次抢答无效。

系统扬声器报警(音响持续1秒),并封锁输入编码电路,严禁选手超时后抢答,时间显示屏显示0。

(6)可用石英晶体振荡器或者555定期器产生频率为1H z旳脉冲信号,作为定期计数器旳CP信号。

数字抢答器总体方框图图11、1数字抢答器框图如图11、1所示为总体方框图。

其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处在严禁状态,编号显示屏灭灯,定期器显示设定期间;主持人将开关置开始"状态,宣布"开始"抢答器工作。

定期器倒计时,选手在定期时间内抢答时,抢答器完毕:优先判断、编号锁存、编号显示,当一轮抢答之后,定期器停止、严禁二次抢答、定期器显示零。

如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。

四人抢答器课程设计报告

四人抢答器课程设计报告

《数字电子技术基础》课程设计任务书专业:电气工程及其自动化班级:13电气专升本学号:130732002姓名:陈云飞指导教师:耿素军二零一三年十一月二十六日四路数电抢答器一、课程设计(论文)要求及原始数据(资料):1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。

2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。

3)设计定时电路,声、光报警或音乐片驱动电路。

4)设计控制逻辑电路,启动、复位电路。

5)设计计分电路,犯规电路。

6)安装自己设计的电路和仿真。

7)写出设计报告。

二、课程设计进度:二、主要参考文献【1】阎石《数字电子电路》. 北京:高等教育出版社. 2007【2】康华光. 《电子技术基础》(数字部分). 北京:高等教育出版社. 2000【3】何小艇《电子系统设计》浙江大学出版社,2000 【4】董诗白《数字电子技术》高等教育出版社,2001【5】吕思忠《数字电路实验与课程设计》,2001专业班级 13电气专升本学生陈云飞李安瑞王菲安浩然王克强课程设计(论文) 工作截至日期 2013-12-10 课程设计评语课程设计成绩;目录1课程设计目的 (1)2设计指标 (1)3 总体框题设计 (1)4方案设计 (2)5总电路图设计 (8)6使用元件 (9)7总结 (10)8 参考文献 (11)一、课程设计目的1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。

2.熟悉数字集成电路的设计和使用方法。

二、设计指标(1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。

(2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。

(3)设计定时电路,声、光报警或音乐片驱动电路。

(4)设计控制逻辑电路,启动、复位电路。

(5)设计计分电路,犯规电路。

(6)安装自己设计的电路和仿真。

(7)写出设计报告。

三、总体框图设计当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。

四人智力竞赛抢答器实验报告

四人智力竞赛抢答器实验报告

四人智力竞赛抢答器设计一台可供4名选手参加比赛的智力竞赛抢答器。

用数字显示抢答倒计 时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。

选手抢答时,数 码显示选手组号,同时蜂鸣器响1秒,倒计时停止。

(1) 4名选手编号为:1, 2, 3, 4。

各有一个抢答按钮,按钮的编号与选手 的编号对应,也分别为1,2,3,4。

(2) 给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯) 和抢答的开始。

(3) 抢答器具有数据锁存和显示的功能。

抢答开始后,若有选手按动抢答按 钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响 提示,封锁输入编码电路,禁止其他选手抢答。

抢答选手的编号一直保持到主持 人将系统清零为止。

(4) 抢答器具有定时(9秒)抢答的功能。

当主持人按下开始按钮后,定时 器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器 响,音响持续1秒。

参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声 器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号, 定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

(5) 如果抢答定时已到,却没有选手抢答时,本次抢答无效。

系统扬声器报 警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器 显示0。

⑹ 可用石英晶体振荡器或者 555定时器产生频率为1H Z 的脉冲信号,作 为定时计数器的CP 信号。

数字抢答器总体方框图如图11、1所示为总体方框图。

其工作原理为:接通电源后,主持人将开关 拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;:掙人住制绷开关电S4场冲U 覷生戟理电■i:1■i ■i J♦・图11、1数字抢答器框图主持人将开关置开始"状态,宣布"开始"抢答器工作。

定时器倒计时,选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示,当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示零。

四人竞赛抢答器实验报告

四人竞赛抢答器实验报告

数电课程设计实验报告设计课题:四人抢答器学院:信息工程学院专业: 通信工程班级:学号:姓名:指导老师:四人抢答器实验报告一. 实验目的1.学习并掌握抢答器的工作原理及其设计方法2.熟悉各个芯片的功能及其各个管脚的接法。

3.灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。

二. 设计任务与要求1.设计任务设计一台可供4名选手参加比赛的竞赛抢答器。

选手抢答时, 数码显示选手组号。

2.设计要求:抢答器的基本功能:1.设计一个智力抢答器, 可同时供四名选手或四个代表队参加比赛, 编号为一, 二, 三, 四, 各用一个抢答按钮, 分别用四个按钮S0——S3表示。

2.给节目主持人设置一个控制开关, 用来控制系统的清零(编号显示数码管清零)。

3.抢答器具有数据锁存和显示的功能, 抢答开始后, 若有选手按动抢答按钮, 编号立即锁存, 并分别让四盏LED亮来表示, 此外, 要封锁输入电路, 实现优先锁存, 禁止其他选手抢答, 优先抢答选手的编号一直保持到主持人将系统清零为止。

简言之, 有选手按下时, 显示不同选手的灯会亮。

同时, 其他人再按下时电路不做任何处理。

也就是说, 如果有选手按下以后, 别的选手再按的话电路不会显示所代表的的LED灯亮。

4.可用555定时器产生频率为1kHZ的脉冲信号, 作为触发器的CP信号。

三. 四人竞赛抢答器电路原理及设计系统原理框图:1.设计方案抢答器具有锁存、响铃、显示。

即当抢答开始后, 选手抢答按动按钮, 锁存器锁存相应的选手编码, 同时用代表不同选手的LED显示出来, 蜂鸣器响铃。

接通电源后, 主持人将开关拨到“清除”状态, , 编号显示器为0, 此时才可以再次抢答。

选手在抢答时, 抢答器完成:编号锁存、编号灯显示, 响铃。

2.单元电路设计及元器件选择(1)抢答电路电路如图2所示。

该电路完成两个功能: 一是分辨出选手按键的先后, 并锁定74LS175的功能真值表即优先抢答者的编号, 同时代表不同选手的LED灯电路亮, 蜂鸣器鸣叫;二是要使其他选手随后的按键操作无效。

四人抢答器报告

四人抢答器报告一.设计的意义抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决电路,广泛应用于各种知识竞赛中。

本次的课程设计的核心内容就是时序逻辑电路、组合逻辑和555电路。

在这次电路设计中,我选择的是触发器、与非门和555电路组成抢答系统。

在抢答开始后如果有参赛者按钮了,就封锁其他参赛者的按键功能,同时主持人控制整个电路的功能。

,抢答器的设计,可以使我了解数字与逻辑电路的特性,在整个设计的过程中也提高了自身的数字逻辑电路的设计能力和动手能力。

二.系统概述用定时器(NE555)用于产生矩形脉冲给四D触发器触发器一个时钟脉冲,使其正常工作。

四D触发器 (74LS175)输入端用于接四个选手的开关按钮,对应输出端接发光二极管显示哪个选手的按钮。

运用双四输入与非门(74LS20),四二输入与非门(74LS00)这两个与非门来实现抢答器的锁存功能。

总的来说是抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其他选手抢答。

抢答选手的指示灯一直保持到主持人将系统清零为止。

当没有选手按键时,扬声器不发声。

三.方案论证和设计本次设计的要达到的要求:时钟脉冲产生电路无人抢答时所有的输出为零按下抢答按钮触发器翻转显示电路:LED发光报警电路:蜂鸣器发声脉冲封锁以实现优先判决主持人控制开关控制电根据课题要求,简单画出如下的四人抢答器的系统流程框图,如下图(a):本次方案的最终确定是根据以最简单的逻辑电路来组合但却完全可以实现课题的设计要求。

共分为三部分:第一部分利用ne555产生时钟脉冲的电路设计如下图:(B)上图就是利用555定时器构成的多谐振荡器原理图,其中R1=1.5k,R2=1.5k,C1=0.2uf,所以产生时钟脉冲的频率由f=1/(0.69(R1+2R2)C1可计算出f=333kHz,远远超出设计要求产生的1kHz频率,这说明第一个选手按下按键后,电路可以马上锁存,防止第二名选手即使在很短的时间按了键都没效。

电子课程设计--四人智力抢答器

目录一、设计任务与要求 (2)二、总体设计方案或技术路线 (2)三、实验电路图 (2)芯片管脚排列图 (2)设计电路原理图 (3)四、理论分析结果 (3)理论分析 (3)单元电路分析 (4)五、实验接线实物图 (5)六、设计体会 (6)七、元件清单 (7)一、设计任务与要求1.设计任务设计一台可供4名选手参加比赛的智力竞赛抢答器。

由主持人控制,抢答前锁定抢答器,抢答时开启电路;用发光二极管LED显示哪个选手抢到。

2.设计要求(1)4名选手编号为:1,2,3,4。

各有一个抢答按钮和发光二极管,按钮和发光二极管的编号都与选手的编号对应,也分别为1,2,3,4。

(2)给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。

(3)抢答器具有数据锁存功能。

抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,封锁输入编码电路,禁止其他选手抢答。

抢答选手的编号一直保持到主持人将系统清零为止。

二、总体设计方案或技术路线利用74LS175 D触发器设计供四人用的智力竞赛抢答器,用以判断抢答优先权。

抢答开始之前,由主持人按下复位开关清除信号,所有的指示灯和数码管均熄灭。

当主持人宣布“开始抢答”后,首先做出判断的参赛者立即按下按钮,对应的指示灯点亮,同时数码管显示该选手的序号,而其余三个参赛者的按钮将不起作用,信号也不再被输出,直到支持人再次清除信号为止。

三、实验电路图(1)用到的芯片管脚排列图74LS174(2)设计电路原理图:四、理论分析结果1.理论分析清零端R__D和时钟脉冲C是六个D触发器共用的。

抢答前先清零,1Q-4Q 均为“0”,相应的发光二极管LED1-LED4都不亮;1Q__-4Q__均为“1”,与非门G1输出为“0”,扬声器不响。

同时G2输出为“1”,将G3打开,时钟脉冲C可以经过G3进入D触发器的C端。

此时,由于S1-S4均未按下,1D-4D均为“0”,所以触发器的状态不变。

抢答开始,若S1首先被按下,1D和1Q均变为“1”,相应的发光二极管LED1亮;1Q__变为“0”,G1的输出为“1”,扬声器发响。

数电四人抢答器实验报告

数电四人抢答器实验报告一、引言数电实验是电子信息类专业中非常重要的实践环节之一。

在这个实验中,我们将设计一个四人抢答器,用以提高学生的学习兴趣和积极性。

本实验报告将详细介绍实验设备、实验原理、实验过程、实验结果和实验结论。

二、实验设备为完成这个实验,我们需要的设备如下: 1. 电路板:用于搭建电路。

2. 电路元件:包括逻辑门、继电器、按键等。

3. 电源:提供电路运行所需的电力。

4. 显示器:用于显示抢答的结果。

5. 电子元器件:如电阻、电容等。

三、实验原理1. 抢答器电路设计原理抢答器是由逻辑门、继电器和按键构成的。

逻辑门用于控制继电器的开关,按键用于触发抢答动作。

当按键按下时,逻辑门输出一个信号,控制继电器的闭合动作,再通过继电器控制显示器的亮灭,实现抢答结果的显示。

2. 抢答器工作原理抢答器工作原理如下: 1. 初始状态下,逻辑门输出低电平,继电器处于断路状态,显示器关闭; 2. 当一个人按下按键时,逻辑门输入高电平,逻辑门输出高电平,继电器闭合,显示器亮起; 3. 当有人抢答成功后,其他人按下按键均不会触发抢答动作,显示器继续保持亮起状态; 4. 当抢答成功者释放按键后,逻辑门输入电平变为低电平,逻辑门输出低电平,继电器断路,显示器关闭。

四、实验过程1. 硬件搭建根据实验原理,我们开始搭建实验所需的电路。

首先,我们在电路板上连接逻辑门、继电器和按键。

此外,还需要连接电源和显示器。

2. 电路测试在搭建完电路后,我们进行了电路测试。

通过按下按键,观察继电器和显示器的状态变化,验证电路的正确性。

如果测试结果不符合预期,我们会检查电路连接和元件的质量,确保没有问题。

3. 实验操作完成电路测试后,我们开始进行实验操作。

实验操作包括以下步骤: 1. 将抢答器电路连接到计算机,并打开电源; 2. 按下按键,观察显示器的状态变化; 3. 释放按键,再次观察显示器的状态变化; 4. 复位电路,准备下一轮实验。

数字电子课程设计路抢答器课程设计报告样本

四人智力竞赛抢答器课程设计报告一、设计题目题目: 四人智力竞赛抢答器二、设计任务和规定1)设计任务设计一台可供4名选手参加比赛智力竞赛抢答器。

用数字显示抢答倒计时间, 由“9”倒计到“0”时, 无人抢答, 蜂鸣器持续响1秒。

选手抢答时, 数码显示选手组号, 同步蜂鸣器响1秒, 倒计时停止。

2)设计规定(1)4名选手编号为: 1, 2, 3, 4。

各有一种抢答按钮, 按钮编号与选手编号相应, 也分别为1, 2, 3, 4。

(2)给主持人设立一种控制按钮, 用来控制系统清零(抢答显示数码管灭灯)和抢答开始。

(3)抢答器具备数据锁存和显示功能。

抢答开始后, 若有选手按动抢答按钮, 该选手编号及时锁存, 并在抢答显示屏上显示该编号, 同步扬声器给出音响提示, 封锁输入编码电路, 禁止其她选手抢答。

抢答选手编号始终保持到主持人将系统清零为止。

(4)抢答器具备定期(9秒)抢答功能。

当主持人按下开始按钮后, 定期器开始倒计时, 定期显示屏显示倒计时间, 若无人抢答, 倒计时结束时, 扬声器响, 音响持续1秒。

参赛选手在设定期间(9秒)内抢答有效, 抢答成功, 扬声器响, 音响持续1秒, 同步定期器停止倒计时, 抢答显示屏上显示选手编号, 定期显示屏上显示剩余抢答时间, 并保持到主持人将系统清零为止。

(5)如果抢答定期已到, 却没有选手抢答时, 本次抢答无效。

系统扬声器报警(音响持续1秒), 并封锁输入编码电路, 禁止选手超时后抢答, 时间显示屏显示0。

(6)可用石英晶体振荡器或者555定期器产生频率为1Hz脉冲信号, 作为定期计数器CP 信号。

三、原理电路设计:1.方案比较;方案一:抢答电路: 使用74ls175作为锁存电路, 当有人抢答时, 运用锁存器输出信号号将时钟脉冲置零, 74ls175及时被锁存, 同步蜂鸣器鸣叫1s, 这时抢答无效, 使用74ls148作为编码器, 对输入型号进行编码, 输出4位BCD码, 再将这四位BCD码输入共阴数码管里显示出抢答者编号。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

. ... . . . .c 数字电子技术课程设计报告

设计课题: 四人智力竞赛抢答器 学 院: 专 业: 电子信息工程 班 级: 2010级电信(1)班 姓 名: 学 号: 日 期 2012年 12月9日——2012年12月23日 指导教师: . ... .

. . .c 摘 要

在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。 电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。 扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。

经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。

关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 . ... .

. . .c 目 录

1 设计任务及要求 ..................................................................................1 2 比较和选定设计的系统方案、画出系统框图 ..................................1 2.1 方案比较 .....................................................................................1 2.2 系统框图 .....................................................................................3 3单元电路设计、参数计算和器件选择 ...............................................3 3.1抢答电路设 .................................................................................3 3.2 定时电路设计 ............................................................................6 3.3报警电路设计 .............................................................................9 4完整的电路图及电路的工作原理 ......................................................10 4.1完整电路图 ................................................................................10

4.2 工作原理..............................................................................11 5经验体会 ..............................................................................................12 参考文献 ..........................................................................................12 附录A:系统电路原理图......................................................................13 附录B:元器件清单 ............................................................................14 . ... .

. . .c 四人智力竞赛抢答器

1 设计任务及要求 (1)设计一个供四人参赛的抢答器,能准确分辨、记录第一个有效按下抢答键者,稍后的其他人按下开关则无效。抢答器具有显示功能,即选手按动按钮,相应的LED发光二极管发光,同时扬声器发出声音。

(2)主持人没有宣布抢答开始时,抢答不起作用。主持人宣布抢答开始时,按“开始”键,抢答开始,同时启动计时器计时;

(3)计时器计时采用倒计数的方式,以加强现场气氛,增加紧迫感。若预定时间无人抢答,自动给出信号停止抢答,以免冷场。倒计数 定时器的时间可以随意预置;电路具有回答问题时间控制功能,要求回答时间小于等于100秒(显示为99到0),当达到限定时间时,发出声响以示警告。

2 比较和选定设计的系统方案、画出系统框图 2.1 方案比较

方案一:电路大致可以由四个功能模块组成:以锁存器为中心的编码显示电路

部分,脉冲产生电路部分,倒计时显示电路部分,音响电路部分。在锁存器为中心的编码显示电路部分中,由锁存器74LS373,四选一数据选择器74LS153,显示器,LED发光二极管和门电路组成。使用74LS373作为锁存电路,当有人抢答时, 利用锁存器的输出信号号将时钟脉冲置零,74LS373立即被锁存,同时蜂鸣器鸣叫0.5s,这时抢答无效,使用74LS153作为数据选择器,对输入的型号进行选择,使选手对应的LED发光二极管发光,同时扬声器发出声音;在脉冲产生电路部分中,用石英晶体振荡器予以实现,由于石英晶体的稳定性和精确性比较高,所以用其产生的脉冲信号更加稳定,同时在显示更能接近预定的值,受 . ... . . . .c 外界环境的干扰较少;在倒计时显示电路部分中,由计数器74LS190,显示器组成。利用74LS190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74LS190被置九,同时将显示上次抢到题目的选手的LED灯灭掉,并开始倒计时,并通过74LS48编码器将即时时间进行编码,并送到数码管,显示此时的时间。假如在9秒有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。在音响电路部分中,由555定时器和电阻电容接合成多谐振荡器,产生所需要的脉冲,然后接入蜂鸣器构成。

方案二:电路大致可以由四个功能模块组成:以四D触发器74LS175为中心构

成编码锁存系统电路部分,脉冲产生电路部分,倒计时显示电路部分,报警电路部分。在4D触发器构成的抢答锁存器中,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个非Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用,这时抢答无效。

在脉冲产生电路部分中,用555定时器予以实现,通过调节电阻的阻值最后得到符合要求的脉冲,因为可以通过改变电阻电容微调频率,取代了用分频器对高频信号进行分频,从而使电路简单了。

在倒计时显示电路部分中,由计数器74LS192,数码管显示器组成。利用74LS192计数器作为倒计时的芯片,当主持人按下抢答按钮时,74LS192被置初始值,抢搭时间开始倒计时,并通过74LS48编码器将即时时间进行编码,并送到数码管,显示此时的时间。假如在规定时间无人抢答,即抢答时间为0时,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,抢答时间数码管显示为0,蜂鸣器鸣叫一秒;假如在规定时间有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,抢答时间停止倒计时,蜂鸣器鸣叫0.5秒,与此同时,回答时间开始倒计时,并通过74LS48编码器将即时时间进行编码,并送到数码管,显示此时的时间,若规定时间选手没有回答完,则计数器停止倒计时,回答时间数码管显示为0,蜂鸣器鸣叫一秒。 . ... . . . .c 在报警电路部分中,由555定时器和电阻电容接合成单稳态电路,产生所需要的脉冲,然后接入蜂鸣器构成。

选择结果:方案二。原因:虽然用555定时器构成的多谐振荡器的稳定性和

精确性没有石英晶体振荡器高,但由于后者设计方便,操作简单,成为了设计时的首选。

2.2 系统框图

图1 四人智力抢答器方案二设计框图 3单元电路设计、参数计算和器件选择 3.1 抢答电路设计 抢答电路的功能有两个:一是能分辨出选手按按钮的先后,并锁存优先抢答者的编号,用LED发光二级管显示表示;二是要使其他选手的按钮操作无效。因

抢答控制电路 秒脉冲产生电路 计时控制电路 数码管显示时间

报警电路 LED显示电路 主持人控制开关

抢答按钮 . ... .

. . .c 此,选用四D触发器74LS175、四输入端与非门74LS20和六组反相器74LS04以及LED灯显示电路完成上述功能。 74LS175是常用的四上升沿D触发器集成电路,里面含有4组d触发器,可以用来构成寄存器,抢答器等功能部件。当清除端MR为低电平时,输出端Q为低电平。在时钟CP上升沿作用下,Q与数据端D相一致。当CP为高电平或低电平时,D对Q没影响。其引脚图及真值表如下图所示。

图2 74LS175的引脚图

表1 74LS175真值表

相关文档
最新文档