EDA课设报告自动打铃器

合集下载

EDA实验报告60S电子闹钟

EDA实验报告60S电子闹钟

EDA实验报告题目 60秒电子闹钟学院电子工程学院专业学生姓名导师姓名初秀琴一功能描述电路上电后自动计时,到达预置的闹响时刻后,由扬声器发出音乐报警。

闹响时刻可利用DIP开关设置,两位数:0~59。

二设计思路电路主要由分频器、M60计数器、闹铃电路、显示电路等部分组成。

秒信号脉冲可由分频器产生,用DIP开关设置闹响时刻,当M60计数器的输出与设置的闹响时刻相等时,闹铃电路输出脉冲驱动扬声器发出音乐报警。

总体设计思路如图1所示:图1 总体设计思路三功能模块1 分频器程序代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity devide isport(clk :in std_logic; --输入时钟clk_out :out std_logic --输出信号);end devide;architecture arc_devide of devide issignal count:std_logic_vector (14 downto 0); --定义内部信号beginprocessbeginwait until clk'event and clk='1';if(count<32767)then --改变最大计数值即可得到不同的分频系数count<=count+1;clk_out<='1';end if;end process;end architecture arc_devide;符号图如图2所示:图2 分频器符号图2 M60计数器程序代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bcd_m60 isport (CLK :in std_logic;EN :in std_logic;CR :in std_logic;QL,QH :out std_logic_vector(3 downto 0) --8421BCD码个位、十位输出);end bcd_m60;architecture behav of bcd_m60 issignal couL,couH:std_logic_vector(3 downto 0);beginprocess(CR,CLK)beginif CR='0' then --异步复位couL<="0000";couH<="0000";elsif clk'event and clk='1' thenif EN='1' thenif (couL=9 and couH=5) then --个位计到9十位计到5回零couL<="0000";couH<="0000";elsif couL=9 then --个位计到9回零十位加1couL<="0000";couH<=couH+1;elsecouL<=couL+1; --否则个位加1end if;end if;end if;end process;QL<=couL;QH<=couH;end behav;符号图如图3所示:图3 M60计数器符号图仿真波形如图4所示:图4 M60计数器仿真波形经分析,M60计数器仿真波形正确。

上课铃声打铃电路

上课铃声打铃电路

课程设计任务书学生姓名:专业班级:指导教师:工作单位:题目:11 上课铃声自动打铃电路初始条件:具备数字电子电路的理论知识;具备数字电路基本电路的设计能力;具备数字电路的基本调试手段;自选相关电子器件;可以使用实验室仪器调试。

要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1、铃声用1W扬声器输出,4位数字时钟显示,时分设置24小时格式;2、打铃时间分别为8:00,8:45,8:55,9:40,10:10,10:55,11:05,11,50,14:00,14:45,14:55,15:40,16:00,16:45,16:55,17:,40,18:00,18:45,18:55,19:40,8:00;3、时间设置功能,定时时间误差1分钟;4、安装调试并完成符合学校要求的设计说明书;5、设计电源;6、焊接:采用实验板完成,不得使用面包板。

时间安排:第十九周一周,其中3天硬件设计,2天硬件调试指导教师签名:年月日系主任(或责任教师)签名:年月日目录1.Multisim简介 (1)2.分析与电路设计 (2)3.脉冲信号电路 (3)4数字钟显示电路 (3)5时钟控制电路 (4)6.控制打铃电路 (5)6.1 失败方案一 (5)6.2失败方案二 (6)6.3失败方案三 (7)6.4成功方案 (8)7.芯片的引脚功能表 (9)8心得体会 (14)9.参考文献 (16)摘要上课自动打铃电路,显示24进制时,60进制分。

并可在上课时间点自动打铃,同时上课打铃电路要有自动校时功能,主要用计数器计数输出,比较器比较,锁存器的输入打铃时间,以及译码器与数码管显示时间。

关键字:打铃,校时,自动,显示1.Multisim简介NI Multisim软件是一个专门用于电子电路仿真与设计的EDA工具软件。

作Windows 下运行的个人桌面电子设计工具,NI Multisim 是一个完整的集成化设计环境。

EDA自动电子钟

EDA自动电子钟

燕山大学EDA课程设计报告书题目:自动电子钟姓名:班级:学号:成绩:一、设计题目及要求题目:自动电子钟要求:1.用24小时制进行时刻显示;2.能够显示小时、分钟;3.每秒钟要有秒闪烁指示;4.上电后从"00:00"开始显示。

5.整点报时,喇叭响两秒;6.可设定夜间某个时段不报时。

二、设计进程及内容①由分频,计时,显示及整点报时四部份组成。

⑴分频部份实现的功能是将输入的366hz信号进行分频取得1hz的秒脉冲,并将秒脉冲接到二极管上以实现秒闪烁。

分频部份用两片十六进制计数器74161,一个D触发器连接以实现分频功能。

⑵计时部份用来实现时,分,秒的计时功能,由两个60进制计数器实现分秒计时和一个24进制计数器实现小时计时,计数器由多片十进制计数器74160连接而成。

⑶显示部份用四个74151和BCD—七段7449译码器设计成电路完成数字显示功能,用来显示小时和分钟以及秒闪烁。

⑷整点报时部份实现的功能是整点的时候报时响两秒而且在夜间22点到5点间不报时。

由两个模块组成别离实现响铃两秒和整点报时功能。

响铃两秒模块由D触发器和一个2进制计数器实现,整点报时部份由4片4位2进制比较器7485连接而成。

②各部份的功能实现及其相关原理图,仿真图。

⑴分频部份用两个74161设置成183分频器,将输入的366HZ的脉冲得2HZ脉冲,再用一个D触发器取得1hz的时钟脉冲,将秒脉冲用一个输出端引出,接到显示管的dp上,用显示电路在分钟个位的显示位实现秒闪烁。

图㈠b分频仿真图⑵计时部份用两个74160采纳整体置数法别离组成六十进制计数器和24进制计数器,用两个六十进制一个二十四进制计数器别离完成秒,分,小时的计时功能。

然后将三者进行级联,实现24小时计时。

图㈡a 60进制原理图图㈡b 60进制仿真图图㈢a 24进制计数器原理图图㈢b 24进制计数器仿真图图㈣a计时部份原理图图㈣b 计时部份仿真图⑶显示部份显示电路由74161组成的四进制计数器,四个八选一数据选择器74151和7449七段译码器组成,显示电路图如图五所示,秒闪烁显示与分钟显示在同一时刻。

自动打铃系统开题报告

自动打铃系统开题报告

自动打铃系统一:课题背景单片机又称单片微控器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。

概括的讲:一块芯片就成了一台计算机。

它的体积小、重量轻、价格便宜、为学习、应用和开发提供了便利条件。

同时,学习使用单片机了解计算机原理与结构的最佳选择。

还有一类计算机,大多数人却不怎么熟悉。

这种计算机就是把智能赋予各种机械的单片机(亦称微控制器),顾名思义,这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。

因为它体积小,通常都藏在被控机械的“肚子”里。

它在整个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。

现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。

各种产品一旦用上了单片机,就能起到使产品升级换代的功效。

常在产品名称前冠以形容词——“智能型”。

如智能型洗衣机等。

一块小小的片子,为何有这样的魔力?我们首先从它的构成说起:单片机,亦称单片微电脑或单片微型计算机。

它是把中央处理器(CPU)、随机存取存储器器(RAM)、只读存储器(ROM)、输入/输出端口(I/O)等主要计算机功能部件集成在一块集成电路芯片上的微型计算机。

计算机的产生加快了人类改造世界的步伐,但是它毕竟体积大。

微计算机(单片机)在这种情况下诞生了,它为我们改变了什么?纵观我们现在生活的各个领域,从导弹的导航装置,到飞机上各个仪表的控制,从计算机的网络通讯与数据传输,到工业自动化过程的实时控制和数据处理,以及我们生活中广泛使用的各种智能IC卡、电子宠物等,这些都离不开单片机。

在单片机产生后,我们就将控制这些东西变为智能化了,我们只需要在外围接一点简单的接口电路,核心部分只是由人为的写入程序来完成。

这样产品的体积变小了,成本也降低了,长期使用也不用担心精度达不到了。

所以,它的魔力不仅在现在。

在将来将会有更多的人来接受它,使用它。

据统计我国的单片机容量已达到1—3亿片,且以每年16%的速度增长,但相对于世界市场我国的占有率还不到1%。

数电课设-设计并仿真自动打铃系统

数电课设-设计并仿真自动打铃系统

数子电子课程实验报告设计并仿真自动打铃系统目录一、设计任务和基本要求 (3)二、设计方案 (3)三、单元设计 (7)四、组装、调试、记录 (12)五、总逻辑图 (12)六、元器件清单 (14)七、改进设想 (14)电子技术课程设计正文一、课程设计任务和基本要求:1.设计任务采用中规模集成电路设计一个可以自动打铃的系统。

2. 基本要求(1)具有显示小时和分钟的时钟功能。

(2)具有自动打铃功能(在8:30、9:15、9:25、10:10、10:30、11:15、11:25、12:10等8个时刻打铃,铃响30秒)。

(3)当电路发生走时误差时,要求电路具有校时功能。

(4)具有手动设置定时的功能。

(5)结构简单。

二、设计方案:1.方案一原理框图如2.1所示:此方案的设计思路是,用移位寄存器事先储存八个时间点,每个时间点为十六位二进制代码,这八个时间点可依次滚动循环输出。

然后将输出的时间信号与时钟的小时和分钟信号用十六位比较器进行比较。

当寄存器中输出的时间与时钟显示的时间正好吻合时,比较器输出相等的信号,启动蜂鸣器和指示灯。

30秒后,由30秒的特征值和比较器相等的信号共同控制寄存器的使能端,使之滚动,输出下一个时间点。

以此循环,实现自动报时打铃的功能。

(详细原理见三、四、五、六部分)此方案可任意预置八个时间点,使用范围广。

但器件相对较多,结构复杂。

2.方案二原理框图如2.2所示:此方案的设计思路是,通过判断下一次打铃距上一次打铃的时间间隔来报时。

这八个时间点的时间间隔依次是45、10、45、20、45、10、45分钟。

用45进制、10进制、20进制计数器来控制响铃的间隔时间。

它们的输出端接入四选一的数字选择器,数字选择器输出选中的计数器的信号。

当计数器计到45分或10分或20分钟时开启响铃系统来报时。

数字选择器的控制端用四个四位寄存器控制(如图2.3),可事先预置不同的时间间隔出现的次序。

然后依次滚动输出所需的控制信号。

VHDL实验报告自动打铃系统曹刚DOC

VHDL实验报告自动打铃系统曹刚DOC

实验13自动打铃系统第二次实验自动打铃系统(14)姓名:曹刚____________学号:1228401082班级:12电子信息工程实验13 自动打铃系统一.实验目的1综合运用EDA技术,完成自动打铃系统的设计与操作;2、进一步熟悉EDA技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的实现方法和应用技巧;3、通过本实践环节,培养科学和严谨的工作作风。

二.实验要求1. 用6个数码管实现时,分、秒的数字显示;2. 能设置当前时间;3. 能实现上、下课打铃及起床铃、熄灯铃功能;4. 能实现整点报时功能,并能控制启动和关闭;5. 能实现调整打铃时间和间歇长短的功能;三.实验设计说明根据设计要求,可以将自动打铃系统的划分为以下几个模块:1. 状态机:系统有多种显示模式,设计中将每种模式当成一种状态,采用用状态机来进行模式切换,将其作为系统的中心控制模块;2. 计时调时模块:用于完成基本的数字钟功能;3. 打铃时间设定模块:系统中要求打铃时间可调,此部分功能相对独立,单独用一个模块实现;4. 打铃长度设定模块:用以设定打铃时间的长短;5. 显示控制模块:根据当前时间和打铃时间等信息决定当前显示的内容;6. 打铃控制模块:用于控制铃声音乐的输出;7. 分频模块、分位模块、七段数码管译码模块等;以上各模块可用下图表示其间的联系。

四.实验原理用层次化设计的方法以VHDL语言编程实现以下功能:【1】具有时”、分”、秒”计时功能;时为24进制,分和秒都为60进制。

【2】具有消抖功能:手工按下键盘到是否这个过程大概50ms左右,在按下开始到弹簧片稳,定接触这段时间为5-10ms,从释放到弹片完全分开也是5-10ms,在达到稳定接触和完全分开的微观过程中,电平是时高时低的,因此如果在首次检测到键盘按下时延时10ms 再检测就不会检测到抖动的毛刺电平了。

64Hz的信号周期为15.6ms,正适合做消抖信号。

【3】具有校时和清零功能,能够用4Hz脉冲对小时”和分”进行调整,并可进行秒清零;【4】具有整点报时功能。

单片机课程设计自动打铃控制器设计

第1章绪论本设计是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。

掌握单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。

近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。

当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控制系统所取代。

单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。

目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。

而本文是用AT89C51单片机设计的一个自动打铃系统。

第2章总体设计思想2.1 基本原理利用单片机的基本原理和功能,控制自动打铃控制器,掌握单片机的最小电路和单片机最常见的外围扩展电路,利用C语言编程并结合单片机开发板上的功能设计实现一个综合程序“单片机多功能打铃器控制器”,完成常见外围组件的驱动。

2.2 设计框图图2.1 硬件电路设计设定51单片机工作在定时器工作方式1,每100ms产生一次中断,利用软件将基准100ms单元进行累加,当定时器产生10次中断就产生1S信号,这时秒单元加1。

同理,对分单元时单元和上下午单元计数,从而产生秒,分,时,上下午的值,通过五位七段显示器进行显示。

本系统采用四个按键,1键为功能键,另外三个做控制键。

按一下1键进入时间设置,接着按2键选择需要调整的位,按3键进行加数,按4键进行减数,按两下1键调整结束时钟继续走动。

当时钟时间与设置时间一致时,驱动电路动作进行打铃,按时间点不同打铃规则不同,此时按2键强制灭铃。

单片机课程设计自动打铃控制器设计

第1章绪论本设计是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。

掌握单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。

近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。

当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控制系统所取代。

单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。

目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。

而本文是用AT89C51单片机设计的一个自动打铃系统。

第2章总体设计思想2.1 基本原理利用单片机的基本原理和功能,控制自动打铃控制器,掌握单片机的最小电路和单片机最常见的外围扩展电路,利用C语言编程并结合单片机开发板上的功能设计实现一个综合程序“单片机多功能打铃器控制器”,完成常见外围组件的驱动。

2.2 设计框图图2.1 硬件电路设计设定51单片机工作在定时器工作方式1,每100ms产生一次中断,利用软件将基准100ms单元进行累加,当定时器产生10次中断就产生1S信号,这时秒单元加1。

同理,对分单元时单元和上下午单元计数,从而产生秒,分,时,上下午的值,通过五位七段显示器进行显示。

本系统采用四个按键,1键为功能键,另外三个做控制键。

按一下1键进入时间设置,接着按2键选择需要调整的位,按3键进行加数,按4键进行减数,按两下1键调整结束时钟继续走动。

当时钟时间与设置时间一致时,驱动电路动作进行打铃,按时间点不同打铃规则不同,此时按2键强制灭铃。

自动打铃的开题报告

三.工作进度及具体安排。
20012/1/18~20012/2/25收集资料、熟悉毕业设计课题
20012/3/1~20012/3/8撰写开题报告
20012/3/9~20012/3/15总体方案设计
20012/3/16~20012/4/16软件的编写与仿真
20012/4/17~20012/4/24程序的调试与验证
四.阅读的主要参考文献
[1]潘松.黄继业编著.EDA技术实用教程(第四版本).北京:科学出版社,20010.6:10-11
[2]赵岩.林白.王志强编著.实用EDA技术与VHDL教程.北京:人民邮电出版社志军.著.EDA实用技术及应用.北京:国防工业出版社,2006.1:220-223
[4]高吉祥.电子技术基础实验与课程设计[M].北京:电子工业出版社,2002:67-73
[5]李国洪,沈明山.可编程器件EDA技术与实践[M].北京:机械工业出版社,2000:56-57
2.国内外研究现状,水平和发展趋势
随着计算机技术、自动控制技术和通讯技术的发展,出现了新兴的技术-电气控制与可编程控制技术,而计算机向微型方向的一个分支发展,则出现了主要是用于控制领域的单片机。由于这些新兴的控制技术的各种应用芯片的发展。目前的自动打铃器,主要包括用单片机控制、用CPLD控制、用电脑程序控制、用继电器控制这几种控制方式。继电器控制的优点是较直观形象,装置结构简单,价格便宜,抗干扰能力强。但是,这也是随之带来的一些问题。绝大多数控制继电器在长期磨损和疲劳工作条件下,容易损坏。而且继电器的触点容易产生电弧,甚至会熔在一起产生误操作,引起严重的后果。再者,对一个具体使用的装有上百个继电器的设备,其控制箱将是庞大而笨重的。在全负荷运载的情况下,大的继电器将产生大量的热及噪声,同时也消耗了大量的电能。并且继电器控制系统要是用大量的硬件控制电路,这在更改方案时,工作量相当大,需要花费大量时间及人力和物力去改制、安装和调试,有时甚至相当于重新设计一台新的装置。总的来说这种控制方式只适用于对控制对象要求不高的小型控制系统中。单片机靠执行指令来完成各种功能,不论多高的工作时钟频率或多么好的指令时序,其排队式串行指令执行方式使得工作速度和效率大打

打铃器实验报告

目录1.实验要求与设计 (2)1.1 实验要求 (2)1.2设计思路 (2)2.设计原理及分析 (3)2.1校时模式 (3)2.2定时模式 (3)2.3工作模式 (3)2.4 89C52单片机 (3)3.系统设计 (4)3.1总体设计框图 (4)3.2总程序流程图 (4)3.3校时程序流程图 (5)3.4校分及显示流程图 (6)4.部分电路设计 (7)4.1.校时与定时电路图 (7)4.2振荡电路 (7)5.程序清单 (8)6.心得体会 (14)参考文献 (15)附:课程设计评分表 (16)附:简易电子打铃器电路图 (17)1.实验要求与设计1.1 实验要求1.主要任务及目标用单片机设置一个多路打铃时间点的教学打铃器(1)可以实现显示当前时间;(2)可以用键盘设定多个预订打铃时间;2.设计的主要内容(1)时钟范围:24h,60s,60s;(2)按键调整时间设定;(3)按键设定电铃,精度为分钟;(4)可任意设定时间达到定时控制,定时点有5个;(5)定时时间到,打铃10s,然后自动关闭打铃;1.2设计思路定时打铃主要由显示模块,校时模块和时钟运算模块三大部分组成。

其中校时模块和时钟运算模块要对时,分,秒的数值进行操作,并且计算到60时,要自己清零并向分进1;分计算到60时,要自己清零并向时进1;时计算到24时,要清零。

这样,才能循环计时。

主要采用了6只LED数码管,时钟运算模块的主要功能是对时,分,秒的运算。

2.设计原理及分析2.1校时模式按下K1(模式选择键),将模式选择为校时模式,K2,K3,K4分别为时钟加1,时钟减1,打铃器定时操作。

2.2定时模式按下K1,将模式选择为定时模式,K2,K3为定时器组选择键,K4为定时器状态选择键,每按K4一次,此组的定时器状态改变一次。

2.3工作模式按下K1,将模式选择为工作模式,K2,K3,K4无用。

此模式为工作模式。

2.4 89C52单片机STC89C52是一种带8K字节闪烁可编程可檫除只读存储器(FPEROM-Flash Programable and Erasable Read Only Memory )的低电压,高性能COMOS8的微处理器,俗称单片机。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

燕 山 大 学
EDA课程设计报告书

题目: 自动打铃器
姓名:
班级: 电子信息工程4班
学号:
成绩:

(注:此文件应以同学学号为文件名)
2

一、设计题目及要求
题目:自动打铃器
要求:
1.有数字钟功能;(不包括校时等功能)
2.可设置六个时间,定时打铃;
3.响铃5秒钟。
二、设计过程及内容
1、总体设计思路
(1)使电路有计时功能,分别利用两个十进制74160设置成六十进制
和二十四进制计数器。用已经设计完成的两个六十进制和一个二十四进制
计数器进位连接完成时,分,秒的计数功能
(2)用三个74160设置成366进制的计数器,并将这个366进制的
计数器设置成分频器得到1hz的时钟脉冲作为开始的输入信号。
(3)四个八选一数字选择器和7449译码器设计成电路完成数字显示
功能,用一个八进制计数器控制实验箱上的八个数码管。
(4)连接有计数功能的模块的相应输出端设置六个时间,通过与门
和非门,连接到响铃模块的输入端以控制其响铃。全天计数器的输出端与
扫描显示电路输入端对应连接。
(5)一个D触发器和一个五进制计数器做成响铃五秒的响铃电路。
最后将以上设计的五个模块连接起来,组成自动打铃器。
2、设计过程
该自动打铃器由五部分构成,分别为分频电路、数字钟电路、扫描显
示电路、设定时间电路、响铃电路。
第一部分 分频电路
分频电路是为了对732Hz脉冲进行分频,得到1Hz的脉冲信号。该
模块由三片74160芯片级联构成732进制计数器,采用整体置0法。输出
频率为1Hz的信号,为数字钟提供脉冲。电路图如下
3

图1 分频电路电路图
对分频电路进行仿真,所得仿真波形如图2:

图2 分频电路仿真波形
第二部分 数字钟电路
该部分电路是用来设定全天二十四小时的计数器,由时、分、秒三个
模块连接而成。三个模块均由两片74160芯片级联构成,都是采用整体置
0法。分、秒模块是六十进制计数器,时模块为二十四进制计数器。几个
电路图及仿真波形图如下:

图3 秒模块60进制计数器
4

图4 秒模块60进制计数器仿真波形

图5 分模块60进制计数器
图6 分模块60进制计数器仿真波形
5

图7 时模块24进制计数器
图8 时模块24进制计数器仿真波形
三个模块串行连接,秒模块的输出接到分模块的使能控制端,分模块
的输出接时模块的使能控制端,构成数字钟。该数字钟是全天计时的,它
的总输入为分频得到的1Hz脉冲信号,输出为时、分、秒模块上的每一
位的输出,此输出接到扫描显示模块上。电路图连接如下:

图9 全天计时器
6

图10 全天计时器仿真波形图
第三部分 扫描显示电路
扫描显示电路是用来显示全天时间。该部分由两个模块组成,八进制
计数器、显示模块。
由于实验箱上只有一排A、B、C、D、E、F、G输入,如果使八个
数码管都点亮,则需要一个八进制计数器控制四个八选一数据选择器。电
路图如下:

图11 扫描显示电路
由于数据选择器输入端未接上全天计数器,所以A、B、C、D、E、
F、G输出端仿真波形如下,八个数码管显示0:
7

图12 扫描显示电路仿真波形
第四部分 定时电路
实验要求设定六个时间响铃,本文设置一点一分、二分、三分、四分、
五分、六分,六个时间响铃,电路图如下:


图13 设定六个时间电路
此电路的仿真波形如下:
8

图14 设定六个时间电路仿真波形
第四部分 响铃5秒电路
当到达设定时间时,要求响铃五秒钟。1Hz脉冲信号输入到五进制计
数器上,设定六个时间电路的输出经过D触发器接到五进制计数器的使能
端。电路图如下:

图15 响铃五秒电路
将以上五个模块连接起来,得到总的自动打铃器电路。
9

图16 总的电路
总电路的的仿真波形如下所示:

图17 总电路的的仿真波形
三、设计结论
两周的EDA课程设计即将告一段落,我感觉受益匪浅。上大二时听
说EDA课设比较难,现在亲身体验到,它是考察的是上学期数电知识,
将理论知识与实践相结合。第一天老师给我们将硬件知识和软件的使用,
以及实验过程中应该注意的事项,当时很好奇,因为自己一直对用软件控
制硬件的具体实现很感兴趣。当真正拿到题目时,稍微觉得有点困难。但
是慢慢理清了思路,回忆起数电相关知识,经过独立思考、向老师请教、
和同学们探讨,逐步有了总体轮廓。具体每个部分实施起来又出现了一些
10

问题,耐心地查询每一个细节。
在做“设定六个时间电路”部分时,由于竞争冒险造成一些毛刺,但
仿真时设定时间较为合理,没有产生很大影响。这些毛刺可以通过加防抖
动电路进行消除。另外 “扫描电路”比较复杂,在这部分上颇费了一番
功夫,认真地研究了逻辑电路的功能,终于做了出来。一开始将频率为
1Hz的脉冲信号接到了扫描电路上,下载后进行试验,八个数码管不能同
时点亮,后来才知道扫描时间太长,经改进后得到预期的结果。当听到试
验箱在设定的六个时间打铃时,欣喜若狂。自己终于能够独立用软件控制
硬件。并且我深切感受到实验成果来之不易,需要有足够的毅力和耐性去
就纠正错误和改进方案,从而才能锻炼自己的动手能力。
总之,EDA课程设计给了我很大的空间去把理论知识与具体实践相
结合,提高了我的独立思考和动手实践能力。我很希望在大学期间对参加
一些类似的活动。比如单片机应用大赛,电子设计大赛等等。这次课设也
很感谢老师和同学们给予了我很多帮助与支持。

相关文档
最新文档