DDS设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电子线路课程设计直接数字频率合成器

(DDS)

姓名:

学号:1004220224

学院:电子工程与光电技术学院

专业:通信工程

指导老师:谭雪琴

设计时间:2012.11.18

一.摘要:

本实验使用了QuartusII 7.0软件进行设计,设计一个具有清零、使能、频率控制、相位控制、输出多种波形(包括正余弦、三角波、锯齿波、方波梯形波),电路中用到了累加器,rom波形存储器等器件。直接数字频率合成技术是一项非常实用的技术,它广泛的应用于数字通信系统。

Abstract:

In trials we use the QuartusII 7.0 software.The report for the design of A has cleared, make can, frequency control, phase control, output various waveform (including are cosine, triangle wave, the sawtooth wave and square-wave trapezoid wave), I Used Accumulator androm to finish the trial .Direct digital frequency synthesis technology is a very practical technology, it is widely used in digital communication system.

关键字

DDS ROM 频率相位控制累加器

Keywords

Directdigital synthesizer ROMfrequencyand phase control Accumulator

目录

一.实验要求说明 (4)

1.实验内容 (4)

2.实验基本要求 (4)

二.整体电路工作原理 (5)

1.基本框图 (5)

2.工作原理 (5)

3.整体电路图 (7)

三. 各子模块设计原理 (7)

1.分频电路 (7)

2.频率预置和调节电路 (10)

3.累加器 (12)

4.ROM查找表模块 (15)

5.波形控制电路 (17)

6.测频电路 (18)

7.译码电路 (20)

8.显示电路 (23)

四.调试仿真下载 (26)

1波形仿真 (26)

2下载 (28)

五.结论 (32)

1问题 (32)

2创新 (32)

3收获 (33)

六.参考文献 (33)

一.实验要求说明

1.实验内容

本实验的内容是使用DDS的方法设计一个任意频率的正弦信号发生器,利用QuartusII完成设计、仿真等工作,并进行硬件测试,通过示波器观察输出信号波形。

2.实验基本要求

1)利用QuartusII软件和SmartSOPC实验箱实现DDS的设计;

2)DDS中的波形存储器模块用Altera公司的Cyclone系列FPGA 芯片中的RAM实现,RAM结构配置成212×10类型;

3)具体参数要求:频率控制字K取4位;基准频率f c=1MHz,由实验板上的系统时钟分频得到;

4)系统具有清零和使能的功能;

5)利用实验箱上的D/A转换器件将ROM输出的数字信号转换为模拟信号,能够通过示波器观察到正弦波形;

6)通过开关(实验箱上的Ki)输入DDS的频率和相位控制字,并能用示波器观察加以验证;

3提高部分要求

1)通过按键(实验箱上的S i)输入DDS的频率和相位控制字,以扩大频率控制和相位控制的范围;(注意:按键

后有消颤电路)

2)能够同时输出正余弦两路正交信号;

3)在数码管上显示生成的波形频率;

4)充分考虑ROM结构及正弦函数的特点,进行合理的配置,提高计算精度;

5)设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形

发生器;

6)自己添加其他功能。

二.整体电路工作原理

1.基本框图

2.工作原理

DDS的基本结构主要由相位累加器、相位调制器、正弦波数据表(ROM)、D/A转换器构成。相位累加器由N位加法器N位寄存器构成。每来一个CLOCK,加法器就将频率控制字fwrod与累加寄存器输出的累加相位数据相加,相加的结果又反馈送至累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位累加。由

此,相位累加器在每一个时钟脉冲输入时,把频率控制字累加以此,相位累加器输出的数据作为波形存储器的相位取样地址,这样就可把存储在波形存储器内的波形抽样值进行找表查出,完成相位到幅值的转换。

由于相位累加器为N 位,相当于把正弦信号在相位上的精度定为N 位,所以分辨率为

1

2

N 。若系统时钟频率为c f ,频率控制字fword 为1,则输出频率为1

2

out N f =,这个频率相当于"基频"。若fword 为K ,则输出频率为1

*

2out N

f K =当系统输入时钟频率f C 不变时,输出信号的频率由频率控制字K 所决定。由上式可得:2*/N out c K f f =其中,K 为频率字,注意K 要取整,有时会有误差。

选取ROM 的地址时,可以间隔选项,相位寄存器输出的位数D 一般取10-16位,这种截取方法称为截断式用法,以减少ROM 的容量。D 太大会导致ROM 容量的成倍上升,而输出精度受D/A 位数的限制未有很大改善。

DDS 工作流程示意图:

相关文档
最新文档