第四章 《数字逻辑》(第二版)习题答案

第四章

1.分析图1所示的组合逻辑电路,说明电路功能,并画出其简化逻辑电路图。

图1 组合逻辑电路

解答

○1根据给定逻辑电路图写出输出函数表达式

C

ABC

B

ABC

A

ABC

F⋅

+

+

=

○2用代数法简化输出函数表达式

C

B

A ABC C

B

A ABC C)

B

(A ABC

C

ABC

B

ABC

A

ABC

F

+ =

+ +

+ =

+ +

=

+

+

=

○3由简化后的输出函数表达式可知,当ABC取值相同时,即为000或111时,输出函数F的值为1,否则F的值为0。故该电路为“一致性电路”。○4实现该电路功能的简化电路如图2所示。

图2

2. 分析图3所示的逻辑电路,要求:

(1) 指出在哪些输入取值下,输出F 的值为1。 (2) 改用异或门实现该电路的逻辑功能。

图3 组合逻辑电路

解答

分析给定逻辑电路,可求出输出函数最简表达式为 C B A C B A F ⊕⊕=⊕⊕=

1 当ABC 取值000、011、101、110时,输出函数F 的值为1; ○

2 用异或门实现该电路功能的逻辑电路图如图4所示。

图4

3.析图5所示组合逻辑电路,列出真值表,并说明该电路的逻辑功能。

图5 组合逻辑电路

= 1 = 1 = 1 A W B C D X Y Z . . .

解答

1 写出电路输出函数表达式如下: D C Z C,B Y B,A X A,W ⊕=⊕=⊕==

2 列出真值表如表1所示。

表1

ABCD WXYZ ABCD WXYZ 0000 0001 0010 0011 0100 0101 0110 0111 0000 0001 0011 0010 0110 0111 0101 0100 1000 1001 1010 1011 1100 1101 1110 1111 1100 1101 1111 1110 1010 1011 1001 1000

○3 由真值表可知,该电路的功能是将四位二进制码转换成Gray 码。 4.设计一个组合电路,该电路输入端接收两个2位二进制数A=A 2A 1,B=B 2B 1。

当A >B 时,输出Z=1,否则Z=0。 解答

○1 根据比较两数大小的法则,可写出输出函数表达式为

1

1212122112222B A A B B A B A B )A B ⊙(A B A Z ++=+=

○2根据所得输出函数表达式,可画出逻辑电路图如图6所示。

图6

5.设计一个代码转换电路,将1位十进制数的余3码转换成2421码。

解答

○1 设1位十进制数的余3码为ABCD,相应2421码为WXYZ,根据余3码和

2421码的编码法则,可作出真值表如表2所示。

ABCD WXYZ ABCD

WXYZ 0000 0001 0010 0011 0100 0101 0110 0111

dddd

dddd dddd 0000 0001 0010 0011 0100

1000 1001 1010 1011 1100 1101 1110 1111

1011 1100 1101 1110 1111 dddd dddd dddd

○2 由真值表可写出输出函数表达式为

∑∑+=,14,15)d(0,1,2,131,12)m(8,9,10,1D)C,B ,W(A, ∑∑+=,14,15)d(0,1,2,131,12)m(7,9,10,1D)C,B ,X(A, ∑∑+=,14,15)d(0,1,2,13,12)m(5,6,8,11D)C,B ,Y(A, ∑∑+=,14,15)d(0,1,2,13,12)m(4,6,8,10D)C,B ,Z(A, 化简后可得:

D

Z D C A D C A D C A ACD D C A Y BCD

D)C A(B BCD AD AC AB X A

W =⊕⊕=+++=+++=+++==

○3 逻辑电路图如图7所示。

图7

6.假定X=AB代表一个2位二进制数,试设计满足如下要求的逻辑电路:

(1) Y=X2 (2) Y=X 3

(Y也用二进制数表示。)

解答

○1假定AB 表示一个两位二进制数,设计一个两位二进制数平方器。

由题意可知,电路输入、输出均为二进制数,输出二进制数的值是输入二进制数AB的平方。由于两位二进制数能表示的最大十进制数为3,3的平方等于9,表示十进制数9需要4位二进制数,所以该电路应有4个输出。假定用WXYZ表示输出的4位二进制数,根据电路输入、输出取值关系可列出真值表如表3所示。

表3

A B W X Y Z

0 0

0 1

1 0 1 1 0 0 0 0 0 0 0 1

0 1 0 0

1 0 0 1

由真值表可写出电路的输出函数表达式为

B

Z

0,

Y

,B

A

X

AB,

W=

=

=

=

根据所得输出函数表达式,可画出用与非门实现给定功能的逻辑电路图如图8所示。

图8

○1假定AB 表示一个两位二进制数,设计一个两位二进制数立方器。

由题意可知,电路输入、输出均为二进制数,输出二进制数的值是输入二进制数AB的立方。由于两位二进制数能表示的最大十进制数为3,3的立方等于27,表示十进制数27需要5位二进制数,所以该电路应有5个输出。假定用TWXYZ 表示输出的5位二进制数,根据电路输入、输出取值关系可列出真值表如表4所示。

表4

A B T W X Y Z

0 0

0 1

1 0 1 1 0 0 0 0 0 0 0 0 0 1

0 1 0 0 0

1 1 0 1 1

由真值表可写出电路的输出函数表达式为

B

Z

AB,

Y

0,

X

A,

W

AB,

T=

=

=

=

=

根据所得输出函数表达式,可画出用与非门实现给定功能的逻辑电路图如图9所示。

图9

7.用与非门设计一个组合电路,该电路输入为1位十进制数的2421码,当输入的数字为素数时,输出F为1,否则F为0。

解答

○1设一位十进制数的2421码用ABCD表示,由题意可知,当ABCD表示的十

进制数字为2、3、5、7时,输出F为1,否则为0。据此,可写出输出函数表达式为

F(A,B,C,D)=∑m(2,3,11,13)+∑d(5~10)

经化简变换后,可得到最简与非表达式为

F(A,⋅

B,

=

C,

=

+

C B

A

D C

D)

D C

A

C B

○2逻辑电路图如图10所示。

图10

8.设计一个“四舍五入”电路。该电路输入为1位十进制数的8421码,当其值大于或等于5时,输出F的值为1,否则F的值为0。

解答

○1根据题意,可列出真值表如表5所示。

表5

A B C D F

0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0

0 1 1 1

1 0 0 0 1 0 0 1 1 0 1 0

1 1 1 1

0 0 0 0 0 1 1 1 1 1 d ┇d

○2由真值表可写出输出函数表达式为

F(A,B,C,D)=∑m(5~9)+∑d(10~15)

经化简变换后,可得到最简与非表达式为

BD

BC

A

BD

BC

A

D)

C,

B,

F(A,⋅

=

+

+

=

○3逻辑电路图如图11所示。

图11

9.设计一个检测电路,检测4位二进制码中1的个数是否为偶数。若为偶数个1,

则输出为1,否则输出为0。 解答

○1 假定采用异或门实现给定功能,设输入的四位代码用B 4B 3BB 1表示,输出函数用F 表示,根据题意和异或运算的规则,可直接写出输出函数表达式为

1234B B B B F ⊕⊕⊕= ○

2 逻辑电路图如图12所示。

图12

10.设计一个加/减法器,该电路在M 控制下进行加、减运算。当M=0时,实现全

加器功能;当M=1时,实现全减器功能。 解答○1 设: A-----被加数/被减数

B-----加数/减数

C-----来自低位的进位输入 /来自低位的借位输入 F-----本位“和”/本位“差”

G-----向高位的“进位” /向高位的“进位”

M ABC F G M ABC F G 0 000 0 001 0 010 0 011 0 100 0 101 0 110 0 111 0 0 1 0 1 0 0 1 1 0 0 1 0 1 1 1 1 000 1 001 1 010 1 011 1 100 1 101 1 110 1 111 0 0 1 1 1 1 0 1 1 0 0 0 0 0 1 1

2 由真值表可写出输出函数表达式:

M=0: F( A ,B ,C) = ∑m(1,2,4,7)

G ( A ,B ,C) = ∑m(3,5,6,7)

M=1: F( A ,B ,C) = ∑m(1,2,4,7)

G ( A ,B ,C) = ∑m(1,2,3,7)

经化简变换后,可得函数表达式如下:

BC

C A B A BC C A B A G C;

B A F :1M BC

AC AB BC AC AB G C;

B A F :0M ⋅⋅=++=⊕⊕==⋅⋅=++=⊕⊕==

3 根据逻辑表达式,可作出逻辑电路图如图13所示。

图 13

11.在输入不提供反变量的情况下,用与非门组成电路实现下列函数:

(1) C B C A B A F ++=

(2) CD B D C A D BC C B A F +++=

解答

1 变换如下:

ABC C ABC B ABC A ABC

B AB

C C ABC A B

AC C AB BC A )B

C A ()C B A ()C B A(B

A C A C

B

C B C A B A C

B C A B A F ⋅⋅⋅⋅⋅=⋅+⋅+⋅=⋅+⋅+⋅=+++++=+++++=++=

逻辑电路图如图14所示。

图14

○2 变换如下: CD

BD BC BD C A BD CD BD BC BD C A BD DC

B C D B C )A D B (CD

B D

C A

D BC C B A F ⋅⋅⋅⋅⋅=⋅+⋅+⋅=+++=+++=

逻辑电路图如图15所示。

图15

12.下列函数描述的电路是否可能发生竞争?竞争结果是否会产生险象?在什么情

况下产生险象?若产生险象,试用增加冗余项的方法消除。 (1) D C C A AB F ++=1

(2) BC CD A AB F ++=2 (3) )()(3C A B A F +⋅+=

解答

1 因为逻辑表达式 D C C A AB F ++=1中没有以互补形式出现的逻辑变量,故不会发生竞争。

○2 因为逻辑表达式BC CD A AB F ++=2中有逻辑变量A 以互补形式出现,故会发生竞争。但由于不论BCD 取何值,表达式都不会变成A A + 或者A A ⋅的形式,所以不会产生险象。

○3 因为逻辑表达式)()(3C A B A F +⋅+=中有逻辑变量A 以互补形式出现,故会发生竞争。由于BC=11时,表达式会变成A A ⋅的形式,

所以BC=11时会产生险象。增加冗余项后的表达式为

)C B )(C A )(B (A F

+++=

数字逻辑与数字系统四五六章答案

第四章习题答案1.设计4个寄存器堆。 解: 寄存器组 2. 设计具有4个寄存器的队列。 解: 输入数据输出数据 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。

栈顶 SR 1 SR 2 SR 3 输入数据 输出数据 压入弹出 4.SRAM 、DRAM 的区别 解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。 SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM 采用行选通和列选通 解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421 码 余三码 B 3B 2B G 3G 2G

第四章1 《数字逻辑》(第二版)习题答案

第四章 1.分析图1所示的组合逻辑电路,说明电路功能,并画出其简化逻辑电路图。 图1 组合逻辑电路 解答 ○1根据给定逻辑电路图写出输出函数表达式 C A B C B A B C A A B C F? + ? + ? = ○2用代数法简化输出函数表达式 C B A ABC C B A ABC C) B (A ABC C ABC B ABC A ABC F + = + + + = + + = ? + ? + ? = ○3由简化后的输出函数表达式可知,当ABC取值相同时,即为000或111时,输出函数F的值为1,否则F的值为0。故该电路为“一致性电路”。 ○4实现该电路功能的简化电路如图2所示。 图2 4.设计一个组合电路,该电路输入端接收两个2位二进制数A=A2A1,B=B2B1。当A>B时,输出Z=1,否则Z=0。

解答 ○1根据比较两数大小的法则,可写出输出函数表达式为 ○2根据所得输出函数表达式,可画出逻辑电路图如图6所示。 图6 6.假定X=AB代表一个2位二进制数,试设计满足如下要求 (2) Y=X3 (Y也用二进制数表示。) ○1假定AB表示一个两位二进制数,设计一个两位二进制数立方器。 由题意可知,电路输入、输出均为二进制数,输出二进制数的值是输入二进制数AB的立方。由于两位二进制数能表示的最大十进制数为3,3的立方等于27,表示十进制数27需要5位二进制数,所以该电路应有5个输出。假定用TWXYZ表示输出的5位二进制数,根据电路输入、输出取值关系可列出真值表如表4所示。 由真值表可写出电路的输出函数表达式为 T= AB, = = = = B W AB, Z A, Y 0, X 根据所得输出函数表达式,可画出用与非门实现给定功能的逻辑电路图如图9所示。

数字逻辑课后答案 第四章

第四章 习题答案 1.设计4个寄存器堆。 解: 2. 设计具有4个寄存器的队列。 解: 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。 寄存器组 输 入数据输出数据

4.SRAM 、DRAM 的区别 解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。 SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM 采用行选通和列选通 解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421码 余三码 B B B G G G 栈顶 SR 1 SR 2 SR 3 输入数据 输出数据 压入弹出 3232

《数字逻辑》(第二版)习题答案

第一章 1. 什么是模拟信号?什么是数字信号?试举出实例。 模拟信号-----指在时间上和数值上均作连续变化的信号。例如,温度、压力、交流电压等信号。 数字信号-----指信号的变化在时间上和数值上都是断续的,阶跃式的,或 者说是离散的,这类信号有时又称为离散信号。例如,在数 字系统中的脉冲信号、开关状态等。 2. 数字逻辑电路具有哪些主要特点? 数字逻辑电路具有如下主要特点: ●电路的基本工作信号是二值信号。 ●电路中的半导体器件一般都工作在开、关状态。 ●电路结构简单、功耗低、便于集成制造和系列化生产。产品价格低 廉、使用方便、通用性好。 ●由数字逻辑电路构成的数字系统工作速度快、精度高、功能强、可 靠性好。 3. 数字逻辑电路按功能可分为哪两种类型?主要区别是什么? 根据数字逻辑电路有无记忆功能,可分为组合逻辑电路和时序逻辑电路两类。组合逻辑电路:电路在任意时刻产生的稳定输出值仅取决于该时刻电路输入值的组合,而与电路过去的输入值无关。组合逻辑电路又可根据 输出端个数的多少进一步分为单输出和多输出组合逻辑电路。时序逻辑电路:电路在任意时刻产生的稳定输出值不仅与该时刻电路的输入值有关,而且与电路过去的输入值有关。时序逻辑电路又可根据电 路中有无统一的定时信号进一步分为同步时序逻辑电路和异 步时序逻辑电路。 4. 最简电路是否一定最佳?为什么? 一个最简的方案并不等于一个最佳的方案。最佳方案应满足全面的性能 指标和实际应用要求。所以,在求出一个实现预定功能的最简电路之后,往往要根据实际情况进行相应调整。 5. 把下列不同进制数写成按权展开形式。 (1) (4517.239)10 (3) (325.744)8 (2) (10110.0101)2 (4) (785.4AF)16 解答(1)(4517.239)10 = 4×103+5×102+1×101+7×100+2 ×10-1+3×10-2+9×10-3 (2)(10110.0101)2= 1×24+1×22+1×21+1×2-2+1×2-4

数字逻辑设计习题参考答案(第4章)

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 C B)⊙(⊕=A Y 经过真值表分析其逻辑功能为当A 、B 、C 三个输入信号中有且只有两个为1时输出为1,其他为0。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? X Z Y S ⊕⊕= YZ X Z Y C +⋅⊕=)( Z Y P ⊕= Z Y L ⋅= 当取S 和C 作为电路的输出时,此电路的逻辑功能是1位全加器,其中X 为低位的进位,S 为当前位的和,C 为进位。(由真值表可C 与 YZ X Z Y +⋅+)(完全一致。) Z B C B A ⋅C B)⊙(⋅A Z ) (Z Y X ⊕⋅Z Y X ⊕⋅)(Z Y X ⊕⋅Z Y ⋅1234

4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 Z Y X F ⊕⊕=1 Z Y X F ⋅⊕=)(2 Z XY Z XY F +⋅=3 XYZ F =4 4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出1P 和 2P 的表达式,列出真值表,说明其逻辑功能。 ABC C B A m m m m Y Y P +⋅⋅=+=⋅=⋅=7070701 6543216543212m m m m m m Y Y Y Y Y Y P +++++=⋅⋅+⋅⋅= C B C A B A ++= P1的逻辑功能为当三个输入信号完全一致时输出为1。 P2的逻辑功能为当上输入信号不完全一致时输出为1。 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备出故障时,指示灯红灯和黄灯都亮。 1234

数字逻辑(第二版)毛法尧课后题答案(1-6章)

习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算: ⑴0000101-0011010 [0000101-0011010]原=10010101; ∴0000101-0011010=-0010101。 [0000101-0011010]反=[0000101]反+[-0011010]反=00000101+11100101=11101010 ∴0000101-0011010=-0010101 [0000101-0011010]补=[0000101]补+[-0011010]补=00000101+11100110=11101011 ∴0000101-0011010=-0010101 ⑵0.010110-0.100110 [0.010110-0.100110]原=1.010000; ∴0.010110-0.100110=-0.010000。 [0.010110-0.100110]反=[0.010110]反+[-0.100110]反=0.010110+1.011001=1.101111 ∴0.010110-0.100110=-0.010000; [0.010110-0.100110]补=[0.010110]补+[-0.100110]补=0.010110+1.011010=1.110000 ∴0.010110-0.100110=-0.010000

数字逻辑第二版习题答案

数字逻辑第二版习题答案 数字逻辑第二版习题答案 数字逻辑是计算机科学中的一门基础课程,它涉及到数字电路的设计和分析。 对于学习者来说,习题是巩固知识和提高技能的重要方式。而数字逻辑第二版 习题的答案则是帮助学习者检验自己的理解和解决问题的关键。 在数字逻辑的学习过程中,习题是不可或缺的一部分。通过完成习题,学习者 可以加深对概念和原理的理解,培养逻辑思维和问题解决能力。然而,习题的 答案对于学习者来说也是至关重要的。它们可以帮助学习者验证自己的答案是 否正确,避免错误的理解和解决方案。 数字逻辑第二版习题的答案可以在多个渠道获取。首先,教材中可能会提供部 分或全部习题的答案。学习者可以通过阅读教材来找到这些答案,并与自己的 解答进行对比。其次,一些教师或学生可能会在网络上分享他们的习题答案。 通过搜索相关的论坛或网站,学习者可以找到一些参考答案。然而,这些答案 可能存在错误或不完整的情况,因此学习者需要谨慎使用。 对于数字逻辑第二版习题的答案,学习者应该采取正确的使用方式。首先,学 习者应该自己尝试解答习题,然后再查看答案进行对比。这样可以帮助学习者 发现自己的错误,并从中学习。其次,学习者应该理解答案的解题思路和方法,而不仅仅是记住答案本身。这样可以帮助学习者在面对类似问题时能够灵活运 用所学知识。最后,学习者可以与其他同学或教师讨论习题答案,共同探讨解 题思路和方法。通过交流和合作,可以进一步提高自己的理解和解决问题的能力。 数字逻辑是一门抽象而又实用的学科,它在计算机科学和工程领域中起着重要

的作用。通过学习数字逻辑,学习者可以了解数字电路的基本原理和设计方法,培养逻辑思维和问题解决能力。而数字逻辑第二版习题的答案则是学习者在掌 握知识和技能过程中的重要参考。通过正确使用习题答案,学习者可以更好地 巩固知识,提高技能,并为将来的学习和工作打下坚实的基础。 总之,数字逻辑第二版习题的答案是学习者在学习过程中的重要参考。通过正 确使用答案,学习者可以验证自己的理解和解决问题的能力。然而,学习者应 该注意正确的使用方式,并将答案作为学习和提高的工具。通过不断练习和思考,学习者可以逐渐掌握数字逻辑的核心概念和技能,并为未来的学习和工作 做好准备。

数字逻辑(第二版)毛法尧课后题答案(1 6章)

数字逻辑(第二版)毛法尧课后题答案(1 6章)数字逻辑(第二版)毛法尧课后题答案(1-6章) “数字逻辑”的问题解决 习题一 1.1将以下不同的十六进制数写成加权展开式: ⑴(4517.239)10=4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1 × 24+0 × 23+1 × 22+1 × 21+0 × 20+0 × 2-1+1 × 2- 2+0 × 2-3+1 × 2-4⑶(325.744)8=3 × 82+2 × 81+5 × 80+7 × 8-1+4 × 8-2+4 × 8-3 ⑷(785.4af)16=7×162+8×161+5×160+4×16-1+a×16-2+f×16-31.2完成下列二进 制表达式的运算: 1.3将下列二进制数转换为十进制、八进制和十六进制数:⑴ (1110101) 2 = (165) 8 = (75) 16 = 7 × 16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.d4)16=13×16-1+4×16- 2=(0.828125)10⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4将下列十进制数转换为二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴ (29)10=(1D)16=(11101)2=(35)8 ⑵(0.207)10=(0.34fdf)16=(0.001101)2=(0.15176)8⑶(33.333)10=(21.553f7)16=(10000 1.010101)2=(41.25237)8 第1页 《数字逻辑》习题解答 1.5如何判断二进制正整数B=b6b5b4b3b2b1b0是否可以除以(4)10? 解:一个二进制正整数被(2)10除时,小数点向左移动一位,被(4)10除时,小数点向左 移动两位,能被整除时,应无余数,故当b1=0和b0=0时,二进制正整数b=b6b5b4b3b2b1b0 能否被(4)10整除. 1.6写出下列数字的原代码、反代码和补码:⑴ 0.1011 [0.1011]原=0.1011;[0.1011]反=0.1011;[0.1011]补=0.1011⑵0.0000

数字逻辑电路设计第二版答案

数字逻辑电路设计第二版答案 【篇一:蒋立平版数字逻辑电路与系统设计习题答案】1.1 将下列二进制数转换为等值的十进制数。(1)(11011)2(2(10010111)2 (3)(1101101)2 (4 (11111111)2 (5)(0.1001)2 (6 (0.0111)2 (7) (11.001)2 (8 (101011.11001)2 题1.1 解:(1)(11011)2 =(27)10 (10010111)2 =(151)10 (3)(1101101)2 =(109)10 (11111111)2 =(255)10(5)(0.1001)2 =(0.5625)10 (0.0111)2 =(0.4375)10(7)(11.001)2 =(3.125)10(101011.11001)2 = (43.78125)10 1.3 数。(1)(1010111)2 (110111011)2 (3)(10110.011010)2 (4)(101100.110011)2 题1.3 解:(1)(1010111)2 =(57)16 =(127)8 (2)(110011010)2 =(19a)16 =(632)8 (3) (10110.111010)2 =(16.e8)16 =((4)(101100.01100001)2 =(2c.61)16 =1.5 将下列十进制数表示为8421bcd码。 (1)(43)10(95.12)10 (3)(67.58)10 ((932.1)10 题1.5 解: (1)(43)10 =(01000011)8421bcd (2)(95.12)10 =(10010101.00010010)8421bcd (3)(67.58)10 =(01100111.01011000)8421bcd (4)(932.1) 10 =(1.7 将下列有符号的十进制数表示成补二进制数。 (1) +13 (2)?9(3)+3 (4)?题1.7解: (1) +13 =(01101)2 ((10111)2 (3) +3 =(00011)2 ((11000)2 1.9 用真值表证明下列各式相等。 (1) ?b?b?a?b (2) a (1) 证 明 ab?c?a?bc

数字逻辑第4章习题参考解答.docx

数字逻辑第四章参考解答: 4-5根据Demorgan定理,X +Y Z的补为XA+ZJ但这两个函数在 XYZ=110时都等于lo对于一个给定的输入组合,一个函数和其补函数怎么能都等于1呢?岀了什么错误? 答:在利用定理时,没有考虑到运算先后顺序,正确的补函数应该为: (X+Y・ z) = x '(y ・ z) = x ©+z)=x y+x ‘z 4.7请写出下面各个逻辑函数的真值表. a) F = X'・Y + XVZ 可先简化为:F = X1(Y + rZ)=X f(Y + Z) X Y Z X・ Y+Z X f(Y+Z) 0 0 0 1 0 0 0 0 1 1 1 1 0 1 0 1 1 1 0 1 1 1 1 1 1 0 00 o 0 1 0 10 1 0 1 1 00 1 0 1 1 10 1 0 c) F二W+X' • (Y'+Z)二W+X' • Y'+X' • Z

4.25证明OR(n)可以采用(n・l)个0R(2)实现;NOR也能这样吗?证明你的结论。 解:根据逻辑定理: (兀1 + 兀2 + 兀3 + 兀4 + 兀5 +...) = ((((xl + 兀2)+ 兀3)+ x4)+ 兀5)+ .・.

第1次运算实现2个变量的OR,笫2次运算实现3个变量的OR, 第(ml )次运算就可以实现n 个变量的OR 。 NOR 不能这样做:以3个变量为例:利用DeMorgan 9 s 定理 ((xl + 兀2)'+兀3)'=(兀1‘•兀 2'+x3)N (兀 1 + x2 + x3) 所以不能采用这种方式替换。 4.36对于XNOR,写出真值表,积之和表达式以及对应的与或结构 逻辑 图。 解:真值表 逻辑式:F = A B + A^逻辑图: 4.38采用题设条件如何得到反相器(题略)。 答:只能利用XNOR 实现,在逻辑表达式F = A ・B + A ・F 中,令B 或A 等于0 (将该输入端接地),即可实现反相器功能。 4.9请写出下面各个逻辑函数的标准和与标准积. a ) F = EJl ,2)= n ;JO,3) 标准和:F = X •厂+XY 标准积:F=(X+Y )・(X4F ) b ) F = II 』1,2)= E B ⑶ 标准和:F = AB A B F 0 0 1 0 1 0 1 0 0 1 1

数字逻辑第四章课后答案

习题4解答 4-1 试用与非门设计实现函数F=Σm<0,2,5,8,11,13,15>的组合逻辑电路。 解:首先用卡诺图对函数进行化简,然后变换成与非-与非表达式。 4-2 试用逻辑门设计三变量的奇数判别电路。若输入变量中1的个数为奇数时,输出为1,否则输出为0。 解:本题的函数不能化简,但可以变换成异或表达式,使电路实现最简。 真值表: 逻辑函数表达式: 4-3 用与非门设计四变量多数表决电路。当输入变量A 、B 、C 、D 有三个或三个以上为1时输出为1,输入为其他状态时输出为0。 解: C B A C B A C B A C B A Y ⋅⋅+⋅⋅+⋅⋅+⋅⋅=C B A ⊕⊕=)( ACD D C B D B A D C B ACD D C B D B A D C B ACD D C B D B A D C B F ⋅⋅⋅⋅⋅⋅⋅=++⋅⋅+⋅⋅=++⋅⋅+⋅⋅=逻辑图 B A C D F

真值表: 先用卡诺图化简,然后变换成与非-与非表达式: 逻辑函数表达式: 4-4 用门电路设计一个代码转换电路,输入为4位二进制代码 ,输出为4位循环码。 解:首先根据所给问题列出真值表,然后用卡诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。 真值表: 卡诺图化简: 逻辑图

图 由水泵M1 单独供水。当水池水位低于A 点且高于B 点时,由水泵M2单独供水。当水池水位高于A 点时,两台水泵都停止供水。试设计一个水泵控制电路。要求电路尽可能简单。 图4.48 习题4-5的示意图 解:设水位低于传感器时,水位传感器的输出为1,水位高于传感器时,水位传感器的输出为0。 首先根据所给问题列出真值表。其中有几种情况是不可能出现的,用约束项表示。 Y 2的卡诺图 Y 3的卡诺图 Y 4的卡诺图 M 1的卡诺图 M 2的卡诺图

数字逻辑(第二版)毛法尧课后题答案(1-6章)

数字逻辑(第二版)毛法尧课后题答案(1-6章)

习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10

⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵ (0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶ (33.333)10=(21.553F7)16=(100001.010101)2=(41.252 37)8

1.9 分别用“对9的补数”和“对10的补数”完成下列十进制数的运算: ⑴2550-123 [2550-123]9补=[2550]9补+[-123]9补=02550+99876=02427 ∴2550-123=2427 [2550-123]10补=[2550]10补+[-123]10补=02550+99877=02427 ∴2550-123=2427 ⑵537-846 [537-846]9补=[537]9补+[-846]9补=0537+9153=9690 ∴537-846=-309 [537-846]10补=[537]10补+[-846]10补=0537+9154=9691 ∴537-846=-309 1.10 将下列8421BCD码转换成二进制数和十进制数: ⑴

相关主题
相关文档
最新文档