数字逻辑设计习题参考答案(第4章)

第4章 组合逻辑电路

4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。

C B)⊙(⊕=A Y

经过真值表分析其逻辑功能为当A 、B 、C 三个输入信号中有且只有两个为1时输出为1,其他为0。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式;

2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么?

X Z Y S ⊕⊕= YZ X Z Y C +⋅⊕=)(

Z Y P ⊕= Z Y L ⋅=

当取S 和C 作为电路的输出时,此电路的逻辑功能是1位全加器,其中X 为低位的进位,S 为当前位的和,C 为进位。(由真值表可C 与

YZ X Z Y +⋅+)(完全一致。)

Z

B C

B

A ⋅C

B)⊙(⋅A Z

)

(Z Y X ⊕⋅Z

Y X ⊕⋅)(Z Y X ⊕⋅Z

Y ⋅1234

4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。

Z Y X F ⊕⊕=1 Z Y X F ⋅⊕=)(2

Z XY Z XY F +⋅=3 XYZ F =4

4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出1P 和

2P 的表达式,列出真值表,说明其逻辑功能。

ABC C B A m m m m Y Y P +⋅⋅=+=⋅=⋅=7070701

6543216543212m m m m m m Y Y Y Y Y Y P +++++=⋅⋅+⋅⋅=

C B C A B A ++=

P1的逻辑功能为当三个输入信号完全一致时输出为1。

P2的逻辑功能为当上输入信号不完全一致时输出为1。

4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备出故障时,指示灯红灯和黄灯都亮。

1234

解:设输入变量A 、B 、C 分别对应三台设备的状态,0表示故障,1表示正常;输出变量X 、Y 、Z 表示绿、黄、红三个灯的亮灭,0表示灭,1表示亮,根据题意可得真值表如下:

设ABC 分别连入74LS138的A 2A 1A 0 由真值表得 42104210Y Y Y Y m m m m Y ⋅⋅⋅=+++=

6530Y Y Y Y Z ⋅⋅⋅=

4—6 下图3.6是由八选一数据选择器构成的电路,试写出当1G 0G 为各种不同的取值时的输出Y 的表达式。

将G1 G0 及A 带入并化简得:

当G1 G0为00时Y=A;01、11时B A Y ⊕=;10时Y=AB;

777Y m m X ===

Y

Z X

)

()()()(0 )()()(1)(0012012012012012012012012A A A B A A A B A A A B A A A A A A B A A A B A A A A A A Y +++⋅

+

++⋅+=)(0010B A G AB G A G G Y ⊕++=G A

4—7仿照全加器设计一个全减器,被减数为A ,减数为B ,低位来的借位为C ,差为D ,向上借一位为J 。

要求:1.写出真值表,写出D 与J 的表达式;2.用译码器74LS138和必要的基本门电路实现此电路;3.用双四选一数据选择器实现。

C B A

D ⊕⊕=

C B A B A J )(++=

4—8 设计一组合逻辑电路,输入为四位二进制码3B 2B 1B 0B ,当

3B 2B 1B 0B 是BCD8421码时输出1=Y ;否则0=Y 。列出真值表,写出

与或非表达式,用集电极开路门实现。

2313123B B B B B B B Y ⋅=⋅+=

D

J

B2B3B1

Y

4—9 设计一个多功能组合数字电路,实现下表所示逻辑功能。表中1C 0C 为功能选择输入信号;A ,B 为输入变量;F 为输出。 1.列出真值表,写出F 的表达式;

若将0C AB 分别连接8选1数据选择器74LS151的A 2A 1A 0可得表达式: 与74LS151的逻辑表达式比较可画出电路图 4—10分析图4.10(a )所示电路:

1.写出L ,Q ,G 的表达式,列出真值表,说明它完成什么逻辑功能。

2.用图4.10(a )所示电路与集成四位数码比较器(如图4.10(b )所示)构成一个五位数码比较器。

由电路图得:B A L =

B A G = B ⊙A Q =

该电路完成1位数值比较器的功能:L 为A 小于B ,G 为A 大于B ,Q 为相等。

若组成五位比较器将L 、G 与

Q 分别连入74LS85的AB 及A=B

7

4321)

742

1(1)7321(111m m C m C m m m m m m C m m m m C F +⋅+⋅++=

+++⋅++++⋅=C 1

1”

引脚即可。

图4.10(a)

图4.10(b)

数字逻辑课后习题答案(科学出版社_第五版)

第一章开关理论基础1.将下列十进制数化为二进制数和八进制数 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.1111 7.74 79.43 10011001.0110111 231.334 2.将下列二进制数转换成十进制数和八进制数 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3.将下列十进制数转换成8421BCD码 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110 0.9475=0.1001 0100 0111 0101 4.列出真值表,写出X的真值表达式 A B C X 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0

1 0 1 1 1 1 0 1 1 1 1 1 X=A BC+A B C+AB C+ABC 5.求下列函数的值 当A,B,C为0,1,0时:A B+BC=1 (A+B+C)(A+B+C)=1 (A B+A C)B=1 当A,B,C为1,1,0时:A B+BC=0 (A+B+C)(A+B+C)=1 (A B+A C)B=1 当A,B,C为1,0,1时:A B+BC=0 (A+B+C)(A+B+C)=1 (A B+A C)B=0 6.用真值表证明下列恒等式 (1) (A⊕B)⊕C=A⊕(B⊕C) A B C (A⊕B)⊕C A⊕(B⊕C) 0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 0 1 0 0 1 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 所以由真值表得证。 (2)A⊕B⊕C=A⊕B⊕C

数字逻辑设计习题参考答案(第4章)

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 C B)⊙(⊕=A Y 经过真值表分析其逻辑功能为当A 、B 、C 三个输入信号中有且只有两个为1时输出为1,其他为0。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? X Z Y S ⊕⊕= YZ X Z Y C +?⊕=)( Z Y P ⊕= Z Y L ?= 当取S 和C 作为电路的输出时,此电路的逻辑功能是1位全加器,其中X 为低位的进位,S 为当前位的和,C 为进位。(由真值表可C 与 YZ X Z Y +?+)(完全一致。) Z B C B A ?C B)⊙(?A Z ) (Z Y X ⊕?Z Y X ⊕?)(Z Y X ⊕?Z Y ?1234

4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 Z Y X F ⊕⊕=1 Z Y X F ?⊕=)(2 Z XY Z XY F +?=3 XYZ F =4 4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出1P 和 2P 的表达式,列出真值表,说明其逻辑功能。 ABC C B A m m m m Y Y P +??=+=?=?=7070701 6543216543212m m m m m m Y Y Y Y Y Y P +++++=??+??= C B C A B A ++= P1的逻辑功能为当三个输入信号完全一致时输出为1。 P2的逻辑功能为当上输入信号不完全一致时输出为1。 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备出故障时,指示灯红灯和黄灯都亮。 1234

数字逻辑电路与系统设计蒋立平主编习题解答

第4章习题及解答 用门电路设计一个4线—2线二进制优先编码器。编码器输入为3210A A A A ,3A 优先级 最高,0A 优先级最低,输入信号低电平有效。输出为10Y Y ,反码输出。电路要求加一G 输出端,以指示最低优先级信号0A 输入有效。 题 解:根据题意,可列出真值表,求表达式,画出电路图。其真值表、表达式和电路图如 图题解所示。由真值表可知3210G A A A A =。 (a)0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 0 1 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1 000000000000000000000000001010001111101011000010 3A 2A 1A 0A 1Y 0Y G 真值表 ≥1 & 1 Y 3A 2 A 1 & & 1 A 0 Y & 1 G A 00 01 11 10 001 00011110 00000001101 1 1 3A 2 A 1A 0 A 03231 Y A A A A =+00 01 11 10 000 00011110 00100001110 3A 2 A 1A 0 A 132 Y A A =(b) 求输出表达式 (c) 编码器电路图 图 题解4.1 试用3线—8线译码器74138扩展为5线—32线译码器。译码器74138逻辑符号如图(a ) 所示。 题 解:5线—32线译码器电路如图题解所示。

& & & & 11 EN 01234 5 67 BIN/OCT EN Y 0 & G 1G 2A G 2B 4 2101234 5 67 BIN/OCT EN & G 1 G 2A G 2B 4 2 101234 5 67 BIN/OCT EN & G 1G 2A G 2B 42101234 5 67 BIN/OCT EN & G 1G 2A G 2B 421A 0A 1A 2 A 3A 4 Y 7 Y 8 Y 15 Y 16 Y 23 Y 24 Y 31 图 题解4.3 写出图所示电路输出1F 和2F 的最简逻辑表达式。译码器74138功能表如表所示。 & 01234 5 67 BIN/OCT EN & C B A 4 21& F 1 F 2 1 74138 图 P4.5

第四章1 《数字逻辑》(第二版)习题答案

第四章 1.分析图1所示的组合逻辑电路,说明电路功能,并画出其简化逻辑电路图。 图1 组合逻辑电路 解答 ○1根据给定逻辑电路图写出输出函数表达式 C A B C B A B C A A B C F? + ? + ? = ○2用代数法简化输出函数表达式 C B A ABC C B A ABC C) B (A ABC C ABC B ABC A ABC F + = + + + = + + = ? + ? + ? = ○3由简化后的输出函数表达式可知,当ABC取值相同时,即为000或111时,输出函数F的值为1,否则F的值为0。故该电路为“一致性电路”。 ○4实现该电路功能的简化电路如图2所示。 图2 4.设计一个组合电路,该电路输入端接收两个2位二进制数A=A2A1,B=B2B1。当A>B时,输出Z=1,否则Z=0。

解答 ○1根据比较两数大小的法则,可写出输出函数表达式为 ○2根据所得输出函数表达式,可画出逻辑电路图如图6所示。 图6 6.假定X=AB代表一个2位二进制数,试设计满足如下要求 (2) Y=X3 (Y也用二进制数表示。) ○1假定AB表示一个两位二进制数,设计一个两位二进制数立方器。 由题意可知,电路输入、输出均为二进制数,输出二进制数的值是输入二进制数AB的立方。由于两位二进制数能表示的最大十进制数为3,3的立方等于27,表示十进制数27需要5位二进制数,所以该电路应有5个输出。假定用TWXYZ表示输出的5位二进制数,根据电路输入、输出取值关系可列出真值表如表4所示。 由真值表可写出电路的输出函数表达式为 T= AB, = = = = B W AB, Z A, Y 0, X 根据所得输出函数表达式,可画出用与非门实现给定功能的逻辑电路图如图9所示。

数字逻辑课后答案 第四章

第四章 习题答案 1.设计4个寄存器堆。 解: 2. 设计具有4个寄存器的队列。 解: 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。 寄存器组 输 入数据输出数据

4.SRAM 、DRAM 的区别 解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。 SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM 采用行选通和列选通 解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421码 余三码 B B B G G G 栈顶 SR 1 SR 2 SR 3 输入数据 输出数据 压入弹出 3232

数字设计-原理与实践(第四版)课后习题答案

第1 章习题参考答案: 1-6 一个电路含有一个2 输入与门(AND2),其每个输入/输出端上都 连接了一个反相器;画出该电路的逻辑图,写出其真值表;能否将该 电路简化 解:电路图和真值表如下: 由真值表可以看出,该电路与一个2 输入或门(OR2)相同。 第2 章习题参考答案: 将下面的八进制数转换成二进制数和十六进制数。 (a) 12348=1 010 011 1002=29C16 (b) 1746378=1 111 100 110 011 1112=F99F16 (c) 3655178=11 110 101 101 001 1112=1EB4F16 (d) =10 101 011 101 011 010 0012=ABAD116 (e) =111 100 011 0012= (f) =100 101 011 001 100 111 12= 将下面的十六进制数转换为二进制数和八进制数。 (a) 102316=1 0000 0010 00112=100438 (b) 7E6A16=111 1110 0110 10102=771528 (c) ABCD16=1010 1011 1100 11012=1257158 (d) C35016=1100 0011 0101 00002=1415208 (e)=1001 1110 10102= (f)=1101 1110 1010 1110 1110 11112 = 将下面的数转换成十进制数。 (a) =107 (b) 1740038=63491 (c) 2=183

(d) = (e)= (f)F3A516= 62373 (g) 120103=138 (h) AB3D16=43837 (i) 71568=3694 (j) = 完成下面的数制转换。 (a) 125= 1 111 1012 (b) 3489= 66418 (c) 209= 11 010 0012 (d) 9714= 227628 (e) 132= 10 000 1002 (f) 23851= 5D2B16 (g) 727= 104025 (h) 57190=DF6616 (i) 1435=26338 (j) 65113=FE5916 将下面的二进制数相加,指出所有的进位: (a) S:1001101 C:100100 (b) S: 1010001 C: 1011100 (c) S: 0 C: 0 (d) S: C: 利用减法而不是加法重复训练题,指出所有的借位而不是进位: (a) D:011 001 B:110000 (b) D:111 101 B:1110000 (c) D: B:00111000 (d) D:1101101 B: 写出下面每个十进制数的8 位符号-数值,二进制补码,二进制反码表示。 (a) +25 原码: 0001 1001 反码: 0001 1001 补码: 0001 1001 (b) +120 0111 1000 0111 1000 0111 1000 (c) +82 0101 0010 0101 0010 0101 0010 (d) –42 (e) –6 1000 0110 1111 1001 1111 1010

数字逻辑第四章答案

1 第4章 作业答案 1、某工厂有三个车间,每个车间各需1kW 电力。这三个车间有两台发电机组供 电,一台是1kW ,另一台是2kW 。三个车间经常不同时工作,有时共有1个车间工作,有时两个或三个车间同时工作。为了节省能源又能保证电力供应,请设计一个逻辑电路,能自动完成供电分配任务。(所需要的门电路输入引脚个数和类型无限制要求,但是尽量用与非门和异或门实现)。 解:设A 、B 、C 分别为三个车间工作时的电力需求情况,1为工作,需要用 电,0为不工作不需要电力供应;输出为两个F 1、F 2,F 1=1表示需要1Kw 的发电机供电,F 2=1表示需要2Kw 的发电机供电,根据题意列阵真值 根据真值表得F 1和F 2的逻辑函数为: 电路图为: 2、分析下面逻辑电路图的功能。 解:根据电路图写出布尔表达式为:BC A BC A F +=∙= 根据真值表可知,当A 为1或B 、C 同时为1时,输出F=1;此电路可看作一个表决电路,A 为主裁 判,B 、C 为副裁判,规则为:当主裁判通过或两个副裁判同时通过时,最终表决结果F 为通过。 3、使用74138和与非门(输入引脚数目无限制)实现函数BC A F += 解:将函数扩展成为最小项表达式: 也可以如下操作: 4、使用74151和逻辑门实现下列逻辑函数。 (1)∑=)7,3,1,0(),,(m C B A F 解:7766554433221100D m D m D m D m D m D m D m D m Y +++++++= 令输入D 0、D 1、D 3、D 7为1,D 2、D 4、D 5、D 6为0,可得: (2)∑=)14,11,9,5,4,3,1(),,,(m D C B A F (可参考课本P89 例 解:ABC D A B B D F ++++++= 设ABC 为输入地址,则有: D 2输入1,D 3、D 6输入0,D 0、D 1、D 4、D 5输入变量D ,D 7输入D

蒋立平数字逻辑电路与系统设计第4章习题及解答

第4章习题及解答 4.1 用门电路设计一个4线—2线二进制优先编码器。编码器输入为3210A A A A ,3A 优先 级最高,0A 优先级最低,输入信号低电平有效。输出为10Y Y ,反码输出。电路要求加一G 输出端,以指示最低优先级信号0A 输入有效。 题4.1 解:根据题意,可列出真值表,求表达式,画出电路图。其真值表、表达式和电路 图如图题解4.1所示。由真值表可知3210G A A A A =。 (a)0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 0 1 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1 00000000000000000000000000101000111110101 1000010 3A 2A 1A 0A 1Y 0Y G 真值表 1 Y 3A 2 A 1 A 0 Y G A 00 01 11 10 001 00011110 00000001101 1 1 3A 2 A 1A 0 A 03231 Y A A A A =+00 01 11 10 000 00011110 00100001110 3A 2 A 1A 0 A 132 Y A A =(b) 求输出表达式 (c) 编码器电路图 图 题解4.1 4.3 试用3线—8线译码器74138扩展为5线—32线译码器。译码器74138逻辑符号如图 4.16(a )所示。 题4.3 解:5线—32线译码器电路如图题解4.3所示。

EN A 0A 1A 2 A 3A 4 图 题解4.3 4.5写出图P4.5所示电路输出1F 和2F 的最简逻辑表达式。 译码器74138功能表如表4.6所示。

数字逻辑设计习题册

数字逻辑设计习题册 哈尔滨工业大学(威海)计算机学院体系结构教研室

第2章 逻辑代数基础 一、填空 1.摩根定理表示为:=?B A _____;=+B A ______。 2. 函数表达式D C AB Y ++=,则其对偶式为='Y ________。 3.根据反演规则,若C D C B A Y +++=,则=Y ________。 4.函数式CD BC AB F ++=写成最小项之和的形式结果为 ()∑m ,写成最大项之积的形式结果为 )( ∏M 。 二、 证明 1.证明公式()()A BC A B A C +=++成立。 2.证明此公式B A B A A +=+成立。 3.证明此公式)()()()()(C A B A C B C A B A +?+=+?+?+成立。 三、 用代数法化简下列各式 1.B A BC A F +=1 2.D C A ABD CD B A F ++=2 3.CD D AC ABC C A F +++=3 4.)()(4C B A C B A C B A F ++?++?++= 5.C DE C BE CD B B A AC F ++++=5

6.C B A AD C B A CD AB F ++++=6 7.D BC A BD A BD CD B B A C A F +++++=7 四、用卡诺图化简下列各式 1.C B A AB C B F ++=1 2.C B BC B A F ++=2 3.C B C B C A C A F +++=3 4.D C A C B A D C D C A ABD ABC F +++++=4 5.D B A AC C B A F ++=5 6.C B A AD C B A D C AB F ++++=6 7.D BC A BD A BD CD B B A C A F +++++=7 8.D B D B C A C A F +++=8 9.D C B A D AC D C B D C A F +++⊕=)(9 10.∑ =m C B A P )7,6,5,2,1,0(),,(1 11.∑ = m D C B A P )14,11,10,9,8,7,6,4,3,2,1,0(),,,(2

数字逻辑第四章课后答案

习题4解答 4-1 试用与非门设计实现函数F=Σm<0,2,5,8,11,13,15>的组合逻辑电路。 解:首先用卡诺图对函数进行化简,然后变换成与非-与非表达式。 4-2 试用逻辑门设计三变量的奇数判别电路。若输入变量中1的个数为奇数时,输出为1,否则输出为0。 解:本题的函数不能化简,但可以变换成异或表达式,使电路实现最简。 真值表: 逻辑函数表达式: 4-3 用与非门设计四变量多数表决电路。当输入变量A 、B 、C 、D 有三个或三个以上为1时输出为1,输入为其他状态时输出为0。 解: C B A C B A C B A C B A Y ⋅⋅+⋅⋅+⋅⋅+⋅⋅=C B A ⊕⊕=)( ACD D C B D B A D C B ACD D C B D B A D C B ACD D C B D B A D C B F ⋅⋅⋅⋅⋅⋅⋅=++⋅⋅+⋅⋅=++⋅⋅+⋅⋅=逻辑图 B A C D F

真值表: 先用卡诺图化简,然后变换成与非-与非表达式: 逻辑函数表达式: 4-4 用门电路设计一个代码转换电路,输入为4位二进制代码 ,输出为4位循环码。 解:首先根据所给问题列出真值表,然后用卡诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。 真值表: 卡诺图化简: 逻辑图

图 由水泵M1 单独供水。当水池水位低于A 点且高于B 点时,由水泵M2单独供水。当水池水位高于A 点时,两台水泵都停止供水。试设计一个水泵控制电路。要求电路尽可能简单。 图4.48 习题4-5的示意图 解:设水位低于传感器时,水位传感器的输出为1,水位高于传感器时,水位传感器的输出为0。 首先根据所给问题列出真值表。其中有几种情况是不可能出现的,用约束项表示。 Y 2的卡诺图 Y 3的卡诺图 Y 4的卡诺图 M 1的卡诺图 M 2的卡诺图

兰州大学智慧树知到“计算机科学与技术”《数字逻辑》网课测试题答案4

兰州大学智慧树知到“计算机科学与技术”《数字逻辑》 网课测试题答案 (图片大小可自由调整) 第1卷 一.综合考核(共15题) 1.在优先编码器电路中允许同时输入2个以上的编码信号。() A.正确 B.错误 2.指出下列电路中能够把串行数据变成并行数据的电路应该是()。 A.JK触发器 B.3/8线译码器 C.移位寄存器 D.十进制计数器 3.下列哪个不能用555电路构成?() A.施密特触发器 B.单稳态触发器 C.多谐振荡器 D.晶体振荡器 4.某电视机水平-垂直扫描发生器需要一个分频器将31500HZ的脉冲转换为60HZ的脉冲,欲构成此分频器至少需要()个触发器。 A.10 B.60 C.525 D.31500 5.若RAM的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输出线(即字线加位线)共有()条。 A.8 B.16 C.32 D.256 6.图图4-8 A.正确 B.错误 7.图图4-3 A.正确 B.错误 8.图图1-17 A.正确 B.错误 9.RS触发器、JK触发器均具有状态翻转功能。() A.正确 B.错误 10.图图3-2 A.正确 B.错误 11.集电极开路门有高电平、低电平、高阻等状态。() A.正确 B.错误 12.将十六进制数(4E.C)16转换成十进制数是()。 A.(54.12)10 B.(54.75)10 C.(78.12)10 D.(78.75)10 13.图图4-9 A.正确 B.错误 14.或非门组成的RS触发器的约束条件是RS=0。() A.正确 B.错误 15.图图4-16 A.正确 B.错误 第2卷

一.综合考核(共15题) 1.和八进制数(166)8等值的十六进制数和十进制数分别为()。 A.76H,118D B.76H,142D C.E6H,230D D.74H,116D 2.函数F(A,B,C)=AB+BC+AC的最小项表达式为()。 A.F(A,B,C)=∑m(0,2,4) B.F(A,B,C)=∑m(3,5,6,7) C.F(A,B,C)=∑m(0,2,3,4) D.F(A,B,C)=∑m(2,4,6,7) 3.施密特触发器电路具有两个稳态,而单稳态触发器电路只具有一个稳态。() A.正确 B.错误 4.使用256×4位EPROM芯片构成2048×32位存储器,共需EPROM芯片()片。 A.64 B.32 C.48 D.16 5.格雷码具有任何相邻码只有一位码元不同的特性。() A.正确 B.错误 6.D触发器的特征方程Qn 1=D,而与Qn无关,所以,D触发器不是时序电路。() A.正确 B.错误 7.逻辑变量的取值,1比0大。() A.正确 B.错误 8.16K×8RAM,其地址线和数据线的数目分别为()。 A.8条地址线,8条数据线 B.10条地址线,4条数据线 C.16条地址线,8条数据线 D.14条地址线,8条数据线9.数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() A.正确 B.错误 10.图图3-7 A.正确 B.错误 11.4位集成数值比较器至少应有端口数()个。 A.18 B.16 C.14 D.12 12.半导体存储器()的内容在掉电后不会丢失。 A.ROM B.RAM C.EPROM D.E2PROM 13.EPROM是指()。 A.随机读写存储器 B.只读存储器 C.光可擦除电可编程只读存储器 D.电可擦可编程只读存储器 14.当传送十进制数5时,在8421奇校验码的校验位上值应为1。() A.正确 B.错误 15.若两个函数具有不同的真值表,则两个逻辑函数必然不相等。() A.正确 B.错误 第1卷参考答案 一.综合考核 1.参考答案:A

数字设计-原理与实践(第四版)课后习题答案

数字设计-原理与实践(第四版)课后习题答案 第1 章习题参考答案: 1-6 一个电路含有一个2 输入与门(AND2),其每个输入/输出端上都 连接了一个反相器;画出该电路的逻辑图,写出其真值表;能否将该 电路简化? 解:电路图和真值表如下: 由真值表可以看出,该电路与一个2 输入或门(OR2)相同。 第2 章习题参考答案: 2.2 将下面的八进制数转换成二进制数和十六进制数。 (a) 12348=1 010 011 1002=29C16 (b) 1746378=1 111 100 110 011 1112=F99F16 (c) 3655178=11 110 101 101 001 1112=1EB4F16 (d) 25353218=10 101 011 101 011 010 0012=ABAD116 (e) 7436.118=111 100 011 110.001 0012=F1E.2416 (f) 45316.74748=100 101 011 001 110.111 100 111 12=4ACE.F2C16 2.3 将下面的十六进制数转换为二进制数和八进制数。 (a) 102316=1 0000 0010 00112=100438 (b) 7E6A16=111 1110 0110 10102=771528 (c) ABCD16=1010 1011 1100 11012=1257158 (d) C35016=1100 0011 0101 00002=1415208 (e)9E36.7A16=1001 1110 00110110.0111 10102=117066.3648 (f)DEAD.BEEF16=1101 1110 1010 1101.1011 1110 1110 11112 =157255.5756748 2.5 将下面的数转换成十进制数。 (a) 11010112=107 (b) 1740038=63491 (c) 101101112=183 (d)

数字逻辑第4章习题参考解答

数字逻辑第四章参考解答: 4-5 根据Demorgan 定理,Z Y X ⋅+的补为'''Z Y X +⋅。但这两个函数在XYZ=110时都等于1。对于一个给定的输入组合,一个函数和其补函数怎么能都等于1呢?出了什么错误? 答:在利用定理时,没有考虑到运算先后顺序,正确的补函数应该为: ()()()''''''''''Z X Y X Z Y X Z Y X Z Y X ⋅+⋅=+=⋅⋅=⋅+ 4.7请写出下面各个逻辑函数的真值表. a) Z Y X Y X F ⋅⋅+⋅=''' 可先简化为:()()Z Y X Z Y Y X F +=+⋅=''' c) F=W+X ’·(Y ’+Z)=W+X ’·Y ’+X ’·Z W X Y Z F W X Y Z F 0 0 0 0 1 1 0 0 0 1 0 1 1 1 1 1

h) F=(((A+B)’+C’)’+D)’=A’·B’·D’+C’·D’ 4.25 证明OR(n)可以采用(n-1)个OR(2)实现;NOR也能这样吗?证明你的结论。 解:根据逻辑定理: ()... () ()() () +x x + + + + x x x x = x x x x 2 1 ... 2 3 5 4 5 4 + + + 1+ 3 +

第1次运算实现2个变量的OR ,第2次运算实现3个变量的OR ,第(n-1)次运算就可以实现n 个变量的OR 。 NOR 不能这样做:以3个变量为例:利用DeMorgan ’s 定理 ()()()()'321'3'2'1'3'21x x x x x x x x x ++≠+⋅=++ 所以不能采用这种方式交换。 4.36 对于XNOR ,写出真值表,积之和表达式以及对应的与或构造逻辑图。 解:真值表 逻辑式:''B A B A F ⋅+⋅= 逻辑图: 4.38 采用题设条件如何得到反相器〔题略〕。 答:只能利用XNOR 实现,在逻辑表达式''B A B A F ⋅+⋅=中,令B 或A 等于0〔将该输入端接地〕,即可实现反相器功能。 标准和与标准积. a) ()()∏∑==Y X Y X F ,,3,02,1 标准和:Y X Y X F ⋅+⋅='' 标准积:()()''Y X Y X F +⋅+=

相关主题
相关文档
最新文档